Double internal RAM for 4-input board

Double the size of the RAM buffer before DMA for analog sample data.
This makes room for 16k samples in 4-channel mode
(or 32k samples in 2-channel mode).
This commit is contained in:
Joris van Rantwijk 2024-10-10 21:17:21 +02:00
parent 6b96ab38d2
commit b445abd149
2 changed files with 2 additions and 2 deletions

View File

@ -96,7 +96,7 @@ package puzzlefw_pkg is
-- Firmware info word.
constant fw_api_version: natural := 1;
constant fw_version_major: natural := 0;
constant fw_version_minor: natural := 14;
constant fw_version_minor: natural := 15;
constant fw_info_word: std_logic_vector(31 downto 0) :=
x"4a"
& std_logic_vector(to_unsigned(fw_api_version, 8))

View File

@ -477,7 +477,7 @@ begin
inst_acq_dma: entity work.dma_write_channel
generic map (
transfer_size_bits => 4,
queue_size_bits => 14,
queue_size_bits => 15,
idle_timeout => 256 )
port map (
clk => clk_adc,