diff --git a/fpga/rtl/puzzlefw_pkg.vhd b/fpga/rtl/puzzlefw_pkg.vhd index 70534ce..acdc623 100644 --- a/fpga/rtl/puzzlefw_pkg.vhd +++ b/fpga/rtl/puzzlefw_pkg.vhd @@ -96,7 +96,7 @@ package puzzlefw_pkg is -- Firmware info word. constant fw_api_version: natural := 1; constant fw_version_major: natural := 0; - constant fw_version_minor: natural := 14; + constant fw_version_minor: natural := 15; constant fw_info_word: std_logic_vector(31 downto 0) := x"4a" & std_logic_vector(to_unsigned(fw_api_version, 8)) diff --git a/fpga/rtl/puzzlefw_top_4ch.vhd b/fpga/rtl/puzzlefw_top_4ch.vhd index 17bcfc9..f8dd2a7 100644 --- a/fpga/rtl/puzzlefw_top_4ch.vhd +++ b/fpga/rtl/puzzlefw_top_4ch.vhd @@ -477,7 +477,7 @@ begin inst_acq_dma: entity work.dma_write_channel generic map ( transfer_size_bits => 4, - queue_size_bits => 14, + queue_size_bits => 15, idle_timeout => 256 ) port map ( clk => clk_adc,