Alternative, unofficial firmware for the Red Pitaya
Go to file
Joris van Rantwijk b445abd149 Double internal RAM for 4-input board
Double the size of the RAM buffer before DMA for analog sample data.
This makes room for 16k samples in 4-channel mode
(or 32k samples in 2-channel mode).
2024-10-10 21:17:21 +02:00
doc Document GPIO and SPI signals to FPGA 2024-10-05 19:12:25 +02:00
fpga Double internal RAM for 4-input board 2024-10-10 21:17:21 +02:00
sw Program correct firmware for board type 2024-10-09 23:20:46 +02:00