vhdl-prng/synth
Joris van Rantwijk 8cf9ca1673 Rename entities of top-level synthesis wrappers.
Two entities named 'top' causes problems for the synthesizer.
2016-11-12 23:01:49 +01:00
..
top_mt19937.vhdl Rename entities of top-level synthesis wrappers. 2016-11-12 23:01:49 +01:00
top_xoroshiro.vhdl Rename entities of top-level synthesis wrappers. 2016-11-12 23:01:49 +01:00