Add LGPL license statement to Xoroshiro RTL.

This commit is contained in:
Joris van Rantwijk 2016-10-21 12:44:24 +02:00
parent c2dcd6d9ca
commit 8642b7291e
1 changed files with 13 additions and 2 deletions

View File

@ -14,8 +14,8 @@
-- to initialize the generator at reset. The generator also supports
-- re-seeding at run time.
--
-- After reset, at least one enabled clock cycle is needed before
-- a random number appears on the output.
-- After reset, at least one clock cycle is needed before valid
-- random data appears on the output.
--
-- NOTE: This is not a cryptographic random number generator.
--
@ -23,6 +23,17 @@
-- all other output bits.
--
--
-- Copyright (C) 2016 Joris van Rantwijk
--
-- This code is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2.1 of the License, or (at your option) any later version.
--
-- See <https://www.gnu.org/licenses/old-licenses/lgpl-2.1.html>
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;