redpitaya-puzzlefw/fpga/vivado
Joris van Rantwijk d2b39354c8 Generate FPGA datasheet report 2024-10-04 23:03:16 +02:00
..
redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw Add PLL and reset FPGA via GPIO 2024-10-04 23:01:26 +02:00
nonproject.tcl Generate FPGA datasheet report 2024-10-04 23:03:16 +02:00
redpitaya_puzzlefw.xpr Add timetagger logic to Vivado project 2024-09-21 20:20:36 +02:00