gitignore 4-channel firmware files

This commit is contained in:
Joris van Rantwijk 2024-10-18 07:31:08 +02:00
parent 9439b860ed
commit 0a857f4c23
1 changed files with 2 additions and 0 deletions

2
fpga/.gitignore vendored
View File

@ -1,6 +1,8 @@
RedPitaya-FPGA
puzzlefw_top.bit.bin
puzzlefw_top_4ch.bit.bin
redpitaya_puzzlefw.xsa
redpitaya_puzzlefw_4ch.xsa
vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/ip
vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/ipshared
vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/puzzlefw.bda