vhdl-prng/rtl
Joris van Rantwijk b498a1e543 Rename entity xoroshiro128 and change interface.
* Rename to rng_xoroshiro128plus
 * Change interface to valid/ready-based stream (not tested).
2016-10-21 13:12:02 +02:00
..
rng_mt19937.vhdl Initial commit: 2016-10-21 11:31:26 +02:00
rng_xoroshiro128plus.vhdl Rename entity xoroshiro128 and change interface. 2016-10-21 13:12:02 +02:00