vhdl-prng/synth
Joris van Rantwijk 3acd8b3043 Rename toplevel stub entity for xoroshiro128+ 2020-08-13 16:29:31 +02:00
..
top_mt19937.vhdl Rename entities of top-level synthesis wrappers. 2016-11-12 23:01:49 +01:00
top_trivium.vhdl Trivium: Synthesis testrun. 2016-11-29 00:15:35 +01:00
top_xoroshiro128plus.vhdl Rename toplevel stub entity for xoroshiro128+ 2020-08-13 16:29:31 +02:00
top_xoshiro128plusplus.vhdl Add xoshiro128++ generator 2020-08-13 16:24:04 +02:00