redpitaya-puzzlefw/fpga/constraints
Joris van Rantwijk 209da7065a Set I/O timing constraints
Set input timing constraints on digital inputs.
Set output timing constraints on LED signals.
2024-08-29 10:01:31 +02:00
..
red_pitaya.xdc Set I/O timing constraints 2024-08-29 10:01:31 +02:00