redpitaya-puzzlefw/fpga/vivado
Joris van Rantwijk 78c9e51587 Add Vivado non-project build script 2024-08-03 12:55:22 +02:00
..
redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw Disable Hierarchical synthesis of block design 2024-08-03 12:55:22 +02:00
nonproject.tcl Add Vivado non-project build script 2024-08-03 12:55:22 +02:00
redpitaya_puzzlefw.xpr Add Vivado project 2024-08-03 12:55:15 +02:00