Log synthesizer messages to file

This commit is contained in:
Joris van Rantwijk 2024-08-30 23:04:27 +02:00
parent 96090ac31e
commit cb2525a25f
1 changed files with 3 additions and 1 deletions

View File

@ -5,7 +5,9 @@ set -e
. script_env
setup_vivado
( cd vivado ; vivado -mode batch -source nonproject.tcl )
( cd vivado
mkdir -p output
stdbuf -oL vivado -mode batch -source nonproject.tcl | tee output/build_log.txt )
cp -a vivado/output/puzzlefw_top.bit .