redpitaya-puzzlefw/fpga/.gitignore

24 lines
653 B
Plaintext
Raw Permalink Normal View History

2024-09-21 19:28:12 +02:00
RedPitaya-FPGA
puzzlefw_top.bit.bin
2024-10-18 07:31:08 +02:00
puzzlefw_top_4ch.bit.bin
2024-09-21 19:28:12 +02:00
redpitaya_puzzlefw.xsa
2024-10-18 07:31:08 +02:00
redpitaya_puzzlefw_4ch.xsa
2024-08-03 13:07:56 +02:00
vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/ip
vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/ipshared
2024-09-21 19:28:12 +02:00
vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/puzzlefw.bda
2024-10-08 08:49:34 +02:00
vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/ui
2024-09-21 19:28:12 +02:00
vivado/redpitaya_puzzlefw.gen
vivado/redpitaya_puzzlefw.cache
vivado/redpitaya_puzzlefw.hw
2024-10-08 08:49:34 +02:00
vivado/redpitaya_puzzlefw.ip_user_files
2024-09-21 19:28:12 +02:00
vivado/redpitaya_puzzlefw.runs
2024-08-03 13:07:56 +02:00
vivado/output
2024-10-08 08:49:34 +02:00
vivado/output_4ch
2024-08-03 13:07:56 +02:00
vivado/.Xil
vivado/NONE
vivado/*.html
vivado/*.xml
2024-09-21 19:28:12 +02:00
vivado/tight_setup_hold_pins.txt
2024-08-29 12:37:56 +02:00
vivado*.jou
vivado*.log