vhdl-prng/rtl
Joris van Rantwijk a6721cae33 Trivium: Rename in_key, in_iv -> newkey, newiv. 2016-11-21 22:14:51 +01:00
..
rng_mt19937.vhdl Set initial values for signals. 2016-11-20 21:19:23 +01:00
rng_trivium.vhdl Trivium: Rename in_key, in_iv -> newkey, newiv. 2016-11-21 22:14:51 +01:00
rng_xoroshiro128plus.vhdl Set initial values for signals. 2016-11-20 21:19:23 +01:00