* Fix missing type conversions in top-level synthesis files.
This commit is contained in:
parent
22e481f3e6
commit
e1d1e4cb09
|
@ -36,9 +36,9 @@ begin
|
||||||
process (clk) is
|
process (clk) is
|
||||||
begin
|
begin
|
||||||
if rising_edge(clk) then
|
if rising_edge(clk) then
|
||||||
r_in_phase <= in_phase;
|
r_in_phase <= unsigned(in_phase);
|
||||||
out_sin <= s_out_sin;
|
out_sin <= std_logic_vector(s_out_sin);
|
||||||
out_cos <= s_out_cos;
|
out_cos <= std_logic_vector(s_out_cos);
|
||||||
end if;
|
end if;
|
||||||
end process;
|
end process;
|
||||||
|
|
||||||
|
|
|
@ -36,9 +36,9 @@ begin
|
||||||
process (clk) is
|
process (clk) is
|
||||||
begin
|
begin
|
||||||
if rising_edge(clk) then
|
if rising_edge(clk) then
|
||||||
r_in_phase <= in_phase;
|
r_in_phase <= unsigned(in_phase);
|
||||||
out_sin <= s_out_sin;
|
out_sin <= std_logic_vector(s_out_sin);
|
||||||
out_cos <= s_out_cos;
|
out_cos <= std_logic_vector(s_out_cos);
|
||||||
end if;
|
end if;
|
||||||
end process;
|
end process;
|
||||||
|
|
||||||
|
|
Loading…
Reference in New Issue