* Add Vivado project files for dry-run on Virtex-7.
This commit is contained in:
		
							parent
							
								
									12ad25422b
								
							
						
					
					
						commit
						0b723c1599
					
				|  | @ -0,0 +1 @@ | |||
| create_clock -period 2.5 [get_ports clk]  | ||||
|  | @ -0,0 +1,105 @@ | |||
| <?xml version="1.0" encoding="UTF-8"?> | ||||
| <!-- Product Version: Vivado v2014.4.1 (64-bit)              --> | ||||
| <!--                                                         --> | ||||
| <!-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.   --> | ||||
| 
 | ||||
| <Project Version="7" Minor="2" Path="/data/jorisvr/qq/vhdl_sincos_gen/synth/xilinx_virtex7/sincos.xpr"> | ||||
|   <DefaultLaunch Dir="$PRUNDIR"/> | ||||
|   <Configuration> | ||||
|     <Option Name="Id" Val="5eccfd50ead546f4b322ed39304bb3c4"/> | ||||
|     <Option Name="Part" Val="xc7vx485tffg1761-1"/> | ||||
|     <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> | ||||
|     <Option Name="BoardPart" Val=""/> | ||||
|     <Option Name="ActiveSimSet" Val="sim_1"/> | ||||
|     <Option Name="DefaultLib" Val="xil_defaultlib"/> | ||||
|   </Configuration> | ||||
|   <FileSets Version="1" Minor="31"> | ||||
|     <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1"> | ||||
|       <Filter Type="Srcs"/> | ||||
|       <File Path="$PPRDIR/../../rtl/sincos_gen.vhdl"> | ||||
|         <FileInfo> | ||||
|           <Attr Name="UsedIn" Val="synthesis"/> | ||||
|           <Attr Name="UsedIn" Val="simulation"/> | ||||
|         </FileInfo> | ||||
|       </File> | ||||
|       <File Path="$PPRDIR/../../rtl/sincos_gen_d24_p26.vhdl"> | ||||
|         <FileInfo> | ||||
|           <Attr Name="UsedIn" Val="synthesis"/> | ||||
|           <Attr Name="UsedIn" Val="simulation"/> | ||||
|         </FileInfo> | ||||
|       </File> | ||||
|       <File Path="$PPRDIR/../../rtl/sincos_gen_d18_p20.vhdl"> | ||||
|         <FileInfo> | ||||
|           <Attr Name="UsedIn" Val="synthesis"/> | ||||
|           <Attr Name="UsedIn" Val="simulation"/> | ||||
|         </FileInfo> | ||||
|       </File> | ||||
|       <Config> | ||||
|         <Option Name="DesignMode" Val="RTL"/> | ||||
|         <Option Name="TopModule" Val="sincos_gen_d24_p26"/> | ||||
|       </Config> | ||||
|     </FileSet> | ||||
|     <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1"> | ||||
|       <Filter Type="Constrs"/> | ||||
|       <File Path="$PSRCDIR/constrs_1/new/timing.xdc"> | ||||
|         <FileInfo> | ||||
|           <Attr Name="UsedIn" Val="synthesis"/> | ||||
|           <Attr Name="UsedIn" Val="implementation"/> | ||||
|         </FileInfo> | ||||
|       </File> | ||||
|       <Config> | ||||
|         <Option Name="TargetConstrsFile" Val="$PSRCDIR/constrs_1/new/timing.xdc"/> | ||||
|         <Option Name="ConstrsType" Val="XDC"/> | ||||
|       </Config> | ||||
|     </FileSet> | ||||
|     <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1"> | ||||
|       <Filter Type="Srcs"/> | ||||
|       <Config> | ||||
|         <Option Name="DesignMode" Val="RTL"/> | ||||
|         <Option Name="TopModule" Val="sincos_gen_d18_p20"/> | ||||
|         <Option Name="TopLib" Val="xil_defaultlib"/> | ||||
|         <Option Name="TopAutoSet" Val="TRUE"/> | ||||
|         <Option Name="SrcSet" Val="sources_1"/> | ||||
|       </Config> | ||||
|     </FileSet> | ||||
|   </FileSets> | ||||
|   <Simulators> | ||||
|     <Simulator Name="XSim"> | ||||
|       <Option Name="Description" Val="Vivado Simulator"/> | ||||
|       <Option Name="CompiledLib" Val="0"/> | ||||
|     </Simulator> | ||||
|     <Simulator Name="ModelSim"> | ||||
|       <Option Name="Description" Val="QuestaSim/ModelSim Simulator"/> | ||||
|     </Simulator> | ||||
|     <Simulator Name="IES"> | ||||
|       <Option Name="Description" Val="Incisive Enterprise Simulator (IES)"/> | ||||
|     </Simulator> | ||||
|     <Simulator Name="VCS"> | ||||
|       <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> | ||||
|     </Simulator> | ||||
|   </Simulators> | ||||
|   <Runs Version="1" Minor="9"> | ||||
|     <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7vx485tffg1761-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current" Dir="$PRUNDIR/synth_1"> | ||||
|       <Strategy Version="1" Minor="2"> | ||||
|         <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2014"/> | ||||
|         <Step Id="synth_design"/> | ||||
|       </Strategy> | ||||
|       <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> | ||||
|     </Run> | ||||
|     <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7vx485tffg1761-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1"> | ||||
|       <Strategy Version="1" Minor="2"> | ||||
|         <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> | ||||
|         <Step Id="init_design"/> | ||||
|         <Step Id="opt_design"/> | ||||
|         <Step Id="power_opt_design"/> | ||||
|         <Step Id="place_design"/> | ||||
|         <Step Id="post_place_power_opt_design"/> | ||||
|         <Step Id="phys_opt_design"/> | ||||
|         <Step Id="route_design"/> | ||||
|         <Step Id="post_route_phys_opt_design"/> | ||||
|         <Step Id="write_bitstream"/> | ||||
|       </Strategy> | ||||
|       <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> | ||||
|     </Run> | ||||
|   </Runs> | ||||
| </Project> | ||||
		Loading…
	
		Reference in New Issue
	
	 Joris van Rantwijk
						Joris van Rantwijk