diff --git a/synth/xilinx_virtex7/sincos.srcs/constrs_1/new/timing.xdc b/synth/xilinx_virtex7/sincos.srcs/constrs_1/new/timing.xdc new file mode 100644 index 0000000..31212ca --- /dev/null +++ b/synth/xilinx_virtex7/sincos.srcs/constrs_1/new/timing.xdc @@ -0,0 +1 @@ +create_clock -period 2.5 [get_ports clk] \ No newline at end of file diff --git a/synth/xilinx_virtex7/sincos.xpr b/synth/xilinx_virtex7/sincos.xpr new file mode 100644 index 0000000..32a6965 --- /dev/null +++ b/synth/xilinx_virtex7/sincos.xpr @@ -0,0 +1,105 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +