Sine/cosine function core in VHDL
Go to file
Joris van Rantwijk e078fffd3e Test bench for sincos_gen_d18_p20. 2016-03-24 23:37:00 +01:00
rtl VHDL wrapper for sin/cos function with 18-bit sin/cos, 20-bit phase. 2016-03-24 23:34:20 +01:00
sim Test bench for sincos_gen_d18_p20. 2016-03-24 23:37:00 +01:00