vhdl-sincos-gen/synth/xilinx_virtex7/sincos.srcs/constrs_1/new/timing.xdc

1 line
41 B
Tcl

create_clock -period 2.5 [get_ports clk]