diff --git a/synth/xilinx_spartan6/sincos.gise b/synth/xilinx_spartan6/sincos.gise
index 690444a..7131a04 100644
--- a/synth/xilinx_spartan6/sincos.gise
+++ b/synth/xilinx_spartan6/sincos.gise
@@ -28,6 +28,85 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -35,17 +114,14 @@
-
-
-
@@ -53,14 +129,9 @@
-
-
-
-
-
@@ -95,103 +166,97 @@
+
-
+
-
+
-
+
-
+
-
+
-
+
-
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
+
-
+
-
-
-
+
+
+
-
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
+
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
-
+
-
-
-
-
-
-
-
-
-
+
+
diff --git a/synth/xilinx_spartan6/sincos.xise b/synth/xilinx_spartan6/sincos.xise
index 5cd210a..20f8fed 100644
--- a/synth/xilinx_spartan6/sincos.xise
+++ b/synth/xilinx_spartan6/sincos.xise
@@ -15,14 +15,6 @@
-
-
-
-
-
-
-
-
@@ -35,19 +27,14 @@
-
+
-
-
-
-
-
@@ -61,8 +48,6 @@
-
-
@@ -79,56 +64,45 @@
-
-
-
-
-
-
-
-
-
+
-
-
-
@@ -136,12 +110,10 @@
-
-
@@ -168,18 +140,15 @@
-
-
-
-
-
-
+
+
+
@@ -191,7 +160,6 @@
-
@@ -212,7 +180,6 @@
-
@@ -224,7 +191,6 @@
-
@@ -245,7 +211,7 @@
-
+
@@ -256,17 +222,14 @@
-
-
-
-
-
-
+
+
+
+
-
@@ -284,11 +247,10 @@
-
-
+
@@ -309,7 +271,6 @@
-
@@ -317,12 +278,10 @@
-
-
@@ -337,8 +296,6 @@
-
-
@@ -364,28 +321,21 @@
-
-
-
-
-
-
-
@@ -408,8 +358,7 @@
-
-
+
diff --git a/synth/xilinx_spartan6/top_d18_p20.ucf b/synth/xilinx_spartan6/sincos_gen_d18_p20.ucf
similarity index 52%
rename from synth/xilinx_spartan6/top_d18_p20.ucf
rename to synth/xilinx_spartan6/sincos_gen_d18_p20.ucf
index fca92a9..5b289cd 100644
--- a/synth/xilinx_spartan6/top_d18_p20.ucf
+++ b/synth/xilinx_spartan6/sincos_gen_d18_p20.ucf
@@ -1,4 +1,4 @@
-#Created by Constraints Editor (xc6slx45-csg324-3) - 2016/04/18
+#Created by Constraints Editor (xc6slx45-csg324-2) - 2016/04/19
NET "clk" TNM_NET = clk;
TIMESPEC TS_clk = PERIOD "clk" 4 ns HIGH 50%;
diff --git a/synth/xilinx_spartan6/timing.ucf b/synth/xilinx_spartan6/timing.ucf
deleted file mode 100644
index d3c9598..0000000
--- a/synth/xilinx_spartan6/timing.ucf
+++ /dev/null
@@ -1,2 +0,0 @@
-NET "clk" TNM_NET = "clk" ;
-TIMESPEC "TS_clk" = PERIOD "clk" 4 ns ;
diff --git a/synth/xilinx_spartan6/top_d18_p20.vhdl b/synth/xilinx_spartan6/top_d18_p20.vhdl
deleted file mode 100644
index 2af32f7..0000000
--- a/synth/xilinx_spartan6/top_d18_p20.vhdl
+++ /dev/null
@@ -1,45 +0,0 @@
---
--- Top-level design for synthesis dry-run of the sine / cosine function core.
---
-
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-
-entity top_d18_p20 is
- port (
- clk: in std_logic;
- clk_en: in std_logic;
- in_phase: in std_logic_vector(19 downto 0);
- out_sin: out std_logic_vector(17 downto 0);
- out_cos: out std_logic_vector(17 downto 0) );
-end entity;
-
-architecture rtl of top_d18_p20 is
-
- signal r_in_phase: unsigned(19 downto 0);
- signal s_out_sin: signed(17 downto 0);
- signal s_out_cos: signed(17 downto 0);
-
-begin
-
- -- Instantiate core.
- gen0: entity work.sincos_gen_d18_p20
- port map (
- clk => clk,
- clk_en => clk_en,
- in_phase => r_in_phase,
- out_sin => s_out_sin,
- out_cos => s_out_cos );
-
- -- Input/output flip-flops.
- process (clk) is
- begin
- if rising_edge(clk) then
- r_in_phase <= unsigned(in_phase);
- out_sin <= std_logic_vector(s_out_sin);
- out_cos <= std_logic_vector(s_out_cos);
- end if;
- end process;
-
-end architecture;
diff --git a/synth/xilinx_spartan6/top_d24_p26.vhdl b/synth/xilinx_spartan6/top_d24_p26.vhdl
deleted file mode 100644
index a064243..0000000
--- a/synth/xilinx_spartan6/top_d24_p26.vhdl
+++ /dev/null
@@ -1,45 +0,0 @@
---
--- Top-level design for synthesis dry-run of the sine / cosine function core.
---
-
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-
-entity top_d24_p26 is
- port (
- clk: in std_logic;
- clk_en: in std_logic;
- in_phase: in std_logic_vector(25 downto 0);
- out_sin: out std_logic_vector(23 downto 0);
- out_cos: out std_logic_vector(23 downto 0) );
-end entity;
-
-architecture rtl of top_d24_p26 is
-
- signal r_in_phase: unsigned(25 downto 0);
- signal s_out_sin: signed(23 downto 0);
- signal s_out_cos: signed(23 downto 0);
-
-begin
-
- -- Instantiate core.
- gen0: entity work.sincos_gen_d24_p26
- port map (
- clk => clk,
- clk_en => clk_en,
- in_phase => r_in_phase,
- out_sin => s_out_sin,
- out_cos => s_out_cos );
-
- -- Input/output flip-flops.
- process (clk) is
- begin
- if rising_edge(clk) then
- r_in_phase <= unsigned(in_phase);
- out_sin <= std_logic_vector(s_out_sin);
- out_cos <= std_logic_vector(s_out_cos);
- end if;
- end process;
-
-end architecture;