From a6721cae338560dd0966ea296621054a0ecaf14a Mon Sep 17 00:00:00 2001 From: Joris van Rantwijk Date: Mon, 21 Nov 2016 22:14:51 +0100 Subject: [PATCH] Trivium: Rename in_key, in_iv -> newkey, newiv. --- rtl/rng_trivium.vhdl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/rtl/rng_trivium.vhdl b/rtl/rng_trivium.vhdl index 3e2be5e..f02b05b 100644 --- a/rtl/rng_trivium.vhdl +++ b/rtl/rng_trivium.vhdl @@ -68,10 +68,10 @@ entity rng_trivium is reseed: in std_logic; -- New key value (must be valid when reseed = '1'). - in_key: in std_logic_vector(79 downto 0); + newkey: in std_logic_vector(79 downto 0); -- New initialization vector (must be valid when reseed = '1'). - in_iv: in std_logic_vector(79 downto 0); + newiv: in std_logic_vector(79 downto 0); -- High when the user accepts the current random data word -- and requests new random data for the next clock cycle. @@ -170,7 +170,7 @@ begin reg_valid <= '0'; reg_valid_wait <= (others => '0'); reg_state <= - ones3 & zeros108 & zeros4 & in_iv & zeros13 & in_key; + ones3 & zeros108 & zeros4 & newiv & zeros13 & newkey; end if; -- Synchronous reset.