From 9c5e076644a9d01628cbb120dbd57402afaa564e Mon Sep 17 00:00:00 2001 From: Joris van Rantwijk Date: Tue, 11 Aug 2020 20:20:52 +0200 Subject: [PATCH] Add Spartan-7 synthesis results for Trivium --- README.txt | 2 ++ 1 file changed, 2 insertions(+) diff --git a/README.txt b/README.txt index c43e438..1b732c9 100644 --- a/README.txt +++ b/README.txt @@ -120,7 +120,9 @@ Period: unknown, depends on seed FPGA resources: only general logic (AND, XOR ports, registers) Synthesis results: 202 LUTs, 332 registers on Spartan-6 (32 bits output) + 145 LUTs, 332 registers on Spartan-7 (32 bits output) Timing results: 380 MHz on Spartan-6 LX45-3 (32 bits output) + 440 MHz on Spartan-7 S25 (32 bits output) Code organization