From 3acd8b3043c3cf8574a30ded243ff119e5fd9e25 Mon Sep 17 00:00:00 2001 From: Joris van Rantwijk Date: Thu, 13 Aug 2020 16:29:31 +0200 Subject: [PATCH] Rename toplevel stub entity for xoroshiro128+ --- synth/{top_xoroshiro.vhdl => top_xoroshiro128plus.vhdl} | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) rename synth/{top_xoroshiro.vhdl => top_xoroshiro128plus.vhdl} (86%) diff --git a/synth/top_xoroshiro.vhdl b/synth/top_xoroshiro128plus.vhdl similarity index 86% rename from synth/top_xoroshiro.vhdl rename to synth/top_xoroshiro128plus.vhdl index 309e69a..c95c1c5 100644 --- a/synth/top_xoroshiro.vhdl +++ b/synth/top_xoroshiro128plus.vhdl @@ -2,16 +2,16 @@ library ieee; use ieee.std_logic_1164.all; -entity topxs is +entity top_xoroshiro128plus is port ( clk : in std_logic; rst : in std_logic; ready: in std_logic; valid: out std_logic; data: out std_logic_vector(63 downto 0) ); -end topxs; +end top_xoroshiro128plus; -architecture arch of topxs is +architecture arch of top_xoroshiro128plus is begin inst_prng: entity work.rng_xoroshiro128plus