diff --git a/fpga/rtl/puzzlefw_pkg.vhd b/fpga/rtl/puzzlefw_pkg.vhd index a9b357f..d407e13 100644 --- a/fpga/rtl/puzzlefw_pkg.vhd +++ b/fpga/rtl/puzzlefw_pkg.vhd @@ -29,10 +29,10 @@ package puzzlefw_pkg is constant reg_rcnt: natural := 16#000200#; constant reg_wcnt: natural := 16#000204#; constant reg_start: natural := 16#000208#; + constant reg_test_irq: natural := 16#000400#; + constant reg_test_led: natural := 16#000404#; constant reg_dma_buf_addr: natural := 16#100000#; constant reg_dma_buf_size: natural := 16#100004#; - constant reg_test_irq: natural := 16#100100#; - constant reg_test_led: natural := 16#100104#; -- Firmware info word. constant fw_api_version: natural := 1; diff --git a/fpga/rtl/puzzlefw_top.vhd b/fpga/rtl/puzzlefw_top.vhd index 771992c..96811ab 100644 --- a/fpga/rtl/puzzlefw_top.vhd +++ b/fpga/rtl/puzzlefw_top.vhd @@ -153,7 +153,8 @@ architecture arch of puzzlefw_top is begin - s_irq <= s_reg_control.test_irq; + s_irq(7 downto 1) <= s_reg_control.test_irq(7 downto 1); + s_irq(0) <= s_reg_control.test_irq(0) and s_reg_control.irq_enable; led_o(7 downto 2) <= s_reg_control.test_led(7 downto 2); -- Differential clock input for ADC clock.