diff --git a/fpga/.gitignore b/fpga/.gitignore index 5116d7e..f0f473d 100644 --- a/fpga/.gitignore +++ b/fpga/.gitignore @@ -1,14 +1,18 @@ -redpitaya_puzzlefw.cache -redpitaya_puzzlefw.gen -redpitaya_puzzlefw.hw -redpitaya_puzzlefw.runs -puzzlefw.bda +RedPitaya-FPGA +puzzlefw_top.bit.bin +redpitaya_puzzlefw.xsa vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/ip vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/ipshared +vivado/redpitaya_puzzlefw.srcs/sources_1/bd/puzzlefw/puzzlefw.bda +vivado/redpitaya_puzzlefw.gen +vivado/redpitaya_puzzlefw.cache +vivado/redpitaya_puzzlefw.hw +vivado/redpitaya_puzzlefw.runs vivado/output vivado/.Xil vivado/NONE vivado/*.html vivado/*.xml +vivado/tight_setup_hold_pins.txt vivado*.jou vivado*.log