diff --git a/fpga/rtl/acquisition_stream.vhd b/fpga/rtl/acquisition_stream.vhd index e07f4e1..436989b 100644 --- a/fpga/rtl/acquisition_stream.vhd +++ b/fpga/rtl/acquisition_stream.vhd @@ -141,7 +141,7 @@ begin -- Latch second sample data word. if (num_channels > 2) and (sample_valid = '1') then - v.sample_pending := '1'; + v.sample_pending := ch4_mode; v.sample_data := sample_data(2 to num_channels - 1); end if; diff --git a/fpga/rtl/puzzlefw_pkg.vhd b/fpga/rtl/puzzlefw_pkg.vhd index b74d242..70534ce 100644 --- a/fpga/rtl/puzzlefw_pkg.vhd +++ b/fpga/rtl/puzzlefw_pkg.vhd @@ -96,7 +96,7 @@ package puzzlefw_pkg is -- Firmware info word. constant fw_api_version: natural := 1; constant fw_version_major: natural := 0; - constant fw_version_minor: natural := 13; + constant fw_version_minor: natural := 14; constant fw_info_word: std_logic_vector(31 downto 0) := x"4a" & std_logic_vector(to_unsigned(fw_api_version, 8))