From 011a6a483896549c0b5f63a5b51c97f86c86f0e6 Mon Sep 17 00:00:00 2001 From: Joris van Rantwijk Date: Fri, 24 Jul 2020 15:15:32 +0200 Subject: [PATCH] Add design files and documents for SMA2 Plug v2 --- README.txt | 23 +- sma2_plug_v2/design/bill_of_materials.txt | 20 + .../design/kicad/sma2_plug_v2-cache.lib | 201 ++ .../design/kicad/sma2_plug_v2.kicad_pcb | 2561 +++++++++++++++++ sma2_plug_v2/design/kicad/sma2_plug_v2.net | 424 +++ sma2_plug_v2/design/kicad/sma2_plug_v2.pro | 248 ++ sma2_plug_v2/design/kicad/sma2_plug_v2.sch | 916 ++++++ sma2_plug_v2/design/pcb.pdf | Bin 0 -> 39627 bytes sma2_plug_v2/design/schematic.pdf | Bin 0 -> 33904 bytes sma2_plug_v2/doc/manual.odt | Bin 0 -> 1327123 bytes sma2_plug_v2/doc/manual.pdf | Bin 0 -> 1421258 bytes sma2_plug_v2/doc/test_report_v2_sn001.pdf | Bin 0 -> 258711 bytes sma2_plug_v2/pictures/assembled_back.jpg | Bin 0 -> 566488 bytes .../pictures/assembled_board_connector.jpg | Bin 0 -> 356392 bytes sma2_plug_v2/pictures/assembled_front.jpg | Bin 0 -> 645408 bytes .../pictures/assembled_front_angle.jpg | Bin 0 -> 522697 bytes .../pictures/assembled_sma_connectors.jpg | Bin 0 -> 275061 bytes sma2_plug_v2/pictures/pcb_back.jpg | Bin 0 -> 357375 bytes sma2_plug_v2/pictures/pcb_front.jpg | Bin 0 -> 358009 bytes 19 files changed, 4392 insertions(+), 1 deletion(-) create mode 100644 sma2_plug_v2/design/bill_of_materials.txt create mode 100644 sma2_plug_v2/design/kicad/sma2_plug_v2-cache.lib create mode 100644 sma2_plug_v2/design/kicad/sma2_plug_v2.kicad_pcb create mode 100644 sma2_plug_v2/design/kicad/sma2_plug_v2.net create mode 100644 sma2_plug_v2/design/kicad/sma2_plug_v2.pro create mode 100644 sma2_plug_v2/design/kicad/sma2_plug_v2.sch create mode 100644 sma2_plug_v2/design/pcb.pdf create mode 100644 sma2_plug_v2/design/schematic.pdf create mode 100644 sma2_plug_v2/doc/manual.odt create mode 100644 sma2_plug_v2/doc/manual.pdf create mode 100644 sma2_plug_v2/doc/test_report_v2_sn001.pdf create mode 100644 sma2_plug_v2/pictures/assembled_back.jpg create mode 100644 sma2_plug_v2/pictures/assembled_board_connector.jpg create mode 100644 sma2_plug_v2/pictures/assembled_front.jpg create mode 100644 sma2_plug_v2/pictures/assembled_front_angle.jpg create mode 100644 sma2_plug_v2/pictures/assembled_sma_connectors.jpg create mode 100644 sma2_plug_v2/pictures/pcb_back.jpg create mode 100644 sma2_plug_v2/pictures/pcb_front.jpg diff --git a/README.txt b/README.txt index a7bcfec..51ad5d0 100644 --- a/README.txt +++ b/README.txt @@ -3,9 +3,30 @@ ============ The SMA2 Plug is a small printed circuit board (PCB) which can -be used as an extension module to attach SMA connectors to +be used as an extension module to attach coaxial cables to an FPGA board. +One side of the module has two SMA connectors which can be used +either as digital input or as digital output at TTL level. +The other side of the module has a 2x6 pin header for plugging +into an FPGA board, compatible with the Digilent Pmod specification. + +For more details, see the user manual +https://github.com/jorisvr/hw-sma-plug/sma2_plug_v2/doc/manual.pdf + +The SMA2 Plug is an open hardware design. This repository contains +the complete KiCad design files and design documents for the module. + + + Acknowledgements + ---------------- + +Many thanks to Sidney Cadot for fundamental ideas about features +and use cases for this module and detailed discussions on the design. + +Many thanks to Joris van Zwieten for reviewing the design and +for invaluable advice on SMD soldering. + License ------- diff --git a/sma2_plug_v2/design/bill_of_materials.txt b/sma2_plug_v2/design/bill_of_materials.txt new file mode 100644 index 0000000..a3c58e0 --- /dev/null +++ b/sma2_plug_v2/design/bill_of_materials.txt @@ -0,0 +1,20 @@ + +Bill of materials for SMA2 Plug v2 +================================== + + qty manufacturer + part nr description package Farnell Conrad refdes + --- ---------------------- ----------- -------- ------- ------- ------ + 2 Nexperia 74LVC126AD quad logic buffer 74LVC126 SOIC-14 1631665 U1,U2 + 2 KEMET C0805C105K3RAC capacitor 1uF 25V X7R 0805 1637035 458056 C1,C3 + 2 KEMET C0805C104J5RAC capacitor 100nF 50V X7R 0805 1414663 458028 C2,C4 + 6 Bourns CR0805-JW-103ELF resistor 10 kOhm 0805 2008390 1055517 R1,R2,R7,R9,R10,R15 + 8 Multicomp MP000066 resistor 33 Ohm 250 mW 0805 3127836 R3,R4,R5,R6,R11,R12,R13,R14 + 2 Bourns CRM1206-FX-51R0ELF resistor 51 Ohm 500 mW 1206 1865251 R8,R16 + 2 Samtec SMA-J-P-H-ST-EM1 SMA connector edge-mount 2856818 J1,J2 + 1 Wurth 61301221021 male pin header 2x6 angled 2.54rm 2356197 1898789 J3 + 2 male pin header 1x3 straight 2.54rm 3226003 1581014 JP1,JP2 + 2 jumper bridge 2.54rm 2834673 1303885 + +NOTE: R8, R16 must be rated for at least 500 mW. +NOTE: R6, R14 must be rated for at least 250 mW. + diff --git a/sma2_plug_v2/design/kicad/sma2_plug_v2-cache.lib b/sma2_plug_v2/design/kicad/sma2_plug_v2-cache.lib new file mode 100644 index 0000000..162234b --- /dev/null +++ b/sma2_plug_v2/design/kicad/sma2_plug_v2-cache.lib @@ -0,0 +1,201 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# 74xx_74LS126 +# +DEF 74xx_74LS126 U 0 40 Y Y 5 L N +F0 "U" 0 50 50 H V C CNN +F1 "74xx_74LS126" 0 -50 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + DIP*W7.62mm* +$ENDFPLIST +DRAW +S -200 300 200 -300 5 1 10 f +P 4 1 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 2 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 3 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 4 0 10 -150 150 -150 -150 150 0 -150 150 f +X ~ 1 0 -250 175 U 50 50 1 0 I +X ~ 2 -300 0 150 R 50 50 1 0 I +X ~ 3 300 0 150 L 50 50 1 0 T +X ~ 4 0 -250 175 U 50 50 2 0 I +X ~ 5 -300 0 150 R 50 50 2 0 I +X ~ 6 300 0 150 L 50 50 2 0 T +X ~ 10 0 -250 175 U 50 50 3 0 I +X ~ 8 300 0 150 L 50 50 3 0 T +X ~ 9 -300 0 150 R 50 50 3 0 I +X ~ 11 300 0 150 L 50 50 4 0 T +X ~ 12 -300 0 150 R 50 50 4 0 I +X ~ 13 0 -250 175 U 50 50 4 0 I +X VCC 14 0 500 200 D 50 50 5 0 W +X GND 7 0 -500 200 U 50 50 5 0 W +ENDDRAW +ENDDEF +# +# Connector_Conn_Coaxial +# +DEF Connector_Conn_Coaxial J 0 40 Y N 1 F N +F0 "J" 10 120 50 H V C CNN +F1 "Connector_Conn_Coaxial" 115 0 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + *BNC* + *SMA* + *SMB* + *SMC* + *Cinch* +$ENDFPLIST +DRAW +A -2 0 71 1636 0 0 1 10 N -70 20 70 0 +A -1 0 71 0 -1638 0 1 10 N 70 0 -70 -20 +C 0 0 20 0 1 8 N +P 2 0 1 0 -100 0 -20 0 N +P 2 0 1 0 0 -100 0 -70 N +X In 1 -200 0 100 R 50 50 1 1 P +X Ext 2 0 -200 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Generic_Conn_02x06_Odd_Even +# +DEF Connector_Generic_Conn_02x06_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 300 50 H V C CNN +F1 "Connector_Generic_Conn_02x06_Odd_Even" 50 -400 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 250 150 -350 1 1 10 f +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +X Pin_1 1 -200 200 150 R 50 50 1 1 P +X Pin_10 10 300 -200 150 L 50 50 1 1 P +X Pin_11 11 -200 -300 150 R 50 50 1 1 P +X Pin_12 12 300 -300 150 L 50 50 1 1 P +X Pin_2 2 300 200 150 L 50 50 1 1 P +X Pin_3 3 -200 100 150 R 50 50 1 1 P +X Pin_4 4 300 100 150 L 50 50 1 1 P +X Pin_5 5 -200 0 150 R 50 50 1 1 P +X Pin_6 6 300 0 150 L 50 50 1 1 P +X Pin_7 7 -200 -100 150 R 50 50 1 1 P +X Pin_8 8 300 -100 150 L 50 50 1 1 P +X Pin_9 9 -200 -200 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_C +# +DEF Device_C C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "Device_C" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 20 -80 -30 80 -30 N +P 2 0 1 20 -80 30 80 30 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R +# +DEF Device_R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "Device_R" 0 0 50 V V C CNN +F2 "" -70 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 50 50 1 1 P +X ~ 2 0 -150 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Jumper_Jumper_3_Open +# +DEF Jumper_Jumper_3_Open JP 0 0 Y N 1 F N +F0 "JP" -100 -100 50 H V C CNN +F1 "Jumper_Jumper_3_Open" 0 110 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + SolderJumper*Open* +$ENDFPLIST +DRAW +A -65 -30 89 1282 518 0 1 0 N -120 40 -10 40 +A 65 -30 89 1282 518 0 1 0 N 10 40 120 40 +C -130 0 20 0 0 0 N +C 0 0 20 0 0 0 N +C 130 0 20 0 0 0 N +P 2 0 1 0 0 -20 0 -50 N +X A 1 -250 0 100 R 50 50 1 1 P +X C 2 0 -150 100 U 50 50 1 1 I +X B 3 250 0 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# power_+3.3V +# +DEF power_+3.3V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+3.3V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS +3.3V +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +3V3 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_GND +# +DEF power_GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_PWR_FLAG +# +DEF power_PWR_FLAG #FLG 0 0 N N 1 F P +F0 "#FLG" 0 75 50 H I C CNN +F1 "power_PWR_FLAG" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N +X pwr 1 0 0 0 U 50 50 0 0 w +ENDDRAW +ENDDEF +# +#End Library diff --git a/sma2_plug_v2/design/kicad/sma2_plug_v2.kicad_pcb b/sma2_plug_v2/design/kicad/sma2_plug_v2.kicad_pcb new file mode 100644 index 0000000..d3502ea --- /dev/null +++ b/sma2_plug_v2/design/kicad/sma2_plug_v2.kicad_pcb @@ -0,0 +1,2561 @@ +(kicad_pcb (version 20171130) (host pcbnew "(5.1.5)-3") + + (general + (thickness 1.6) + (drawings 32) + (tracks 236) + (zones 0) + (modules 29) + (nets 23) + ) + + (page A4) + (title_block + (title "SMA2 Plug v2") + (date 2020-06-26) + (rev v2.2) + (comment 1 "Licensed under CERN-OHL-S v2, see also https://cern.ch/cern-ohl") + (comment 2 "Copyright 2020 Joris van Rantwijk") + ) + + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.25) + (trace_clearance 0.25) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (via_size 1) + (via_drill 0.5) + (via_min_size 0.6) + (via_min_drill 0.35) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (edge_width 0.05) + (segment_width 0.2) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.12) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.051) + (solder_mask_min_width 0.25) + (aux_axis_origin 0 0) + (visible_elements 7FFFFFFF) + (pcbplotparams + (layerselection 0x010f0_ffffffff) + (usegerberextensions false) + (usegerberattributes false) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "")) + ) + + (net 0 "") + (net 1 +3V3) + (net 2 GND) + (net 3 "Net-(J1-Pad1)") + (net 4 "Net-(J2-Pad1)") + (net 5 IN1) + (net 6 OUT1) + (net 7 OE1) + (net 8 IN2) + (net 9 OUT2) + (net 10 OE2) + (net 11 "Net-(JP1-Pad1)") + (net 12 "Net-(JP1-Pad3)") + (net 13 "Net-(JP2-Pad3)") + (net 14 "Net-(JP2-Pad1)") + (net 15 "Net-(R3-Pad2)") + (net 16 "Net-(R4-Pad2)") + (net 17 "Net-(J3-Pad5)") + (net 18 "Net-(J3-Pad6)") + (net 19 "Net-(R5-Pad2)") + (net 20 "Net-(R11-Pad2)") + (net 21 "Net-(R12-Pad2)") + (net 22 "Net-(R13-Pad2)") + + (net_class Default "Dit is de standaard class." + (clearance 0.25) + (trace_width 0.25) + (via_dia 1) + (via_drill 0.5) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +3V3) + (add_net GND) + (add_net IN1) + (add_net IN2) + (add_net "Net-(J1-Pad1)") + (add_net "Net-(J2-Pad1)") + (add_net "Net-(J3-Pad5)") + (add_net "Net-(J3-Pad6)") + (add_net "Net-(JP1-Pad1)") + (add_net "Net-(JP1-Pad3)") + (add_net "Net-(JP2-Pad1)") + (add_net "Net-(JP2-Pad3)") + (add_net "Net-(R11-Pad2)") + (add_net "Net-(R12-Pad2)") + (add_net "Net-(R13-Pad2)") + (add_net "Net-(R3-Pad2)") + (add_net "Net-(R4-Pad2)") + (add_net "Net-(R5-Pad2)") + (add_net OE1) + (add_net OE2) + (add_net OUT1) + (add_net OUT2) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5EC9B5AD) + (at 89.408 65.532 270) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EF7A2DB) + (attr smd) + (fp_text reference R14 (at -1.016 2.032 180) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 33 (at 0 1.65 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 4 "Net-(J2-Pad1)")) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 14 "Net-(JP2-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5ED43389) + (at 93.726 63.246) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5F1ABB3C) + (fp_text reference JP2 (at -2.794 6.858) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Jumper_3_Open (at 0 7.41) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 2.54 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 13 "Net-(JP2-Pad3)")) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 "Net-(J2-Pad1)")) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 14 "Net-(JP2-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5ED43372) + (at 93.726 73.914) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5F2BA64E) + (fp_text reference JP1 (at -2.794 -1.778) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Jumper_3_Open (at 0 7.41) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 2.54 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 12 "Net-(JP1-Pad3)")) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 "Net-(J1-Pad1)")) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 11 "Net-(JP1-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5EC9B5BE) + (at 89.408 76.463 90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F0E1442) + (attr smd) + (fp_text reference R6 (at 1.025 -1.524 180) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 33 (at 0 1.65 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 90) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 11 "Net-(JP1-Pad1)")) + (pad 1 smd roundrect (at -1.025 0 90) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 3 "Net-(J1-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E6188BF) + (at 66.539 77.216 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E69611F) + (attr smd) + (fp_text reference R2 (at 1.007 1.524) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10k (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (pad 1 smd roundrect (at -1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 7 OE1)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module MountingHole:MountingHole_2.2mm_M2 (layer F.Cu) (tedit 56D1B4CB) (tstamp 5ECAA6D3) + (at 102.362 71.12) + (descr "Mounting Hole 2.2mm, no annular, M2") + (tags "mounting hole 2.2mm no annular m2") + (attr virtual) + (fp_text reference REF** (at 0 -3.2) (layer Dwgs.User) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value MountingHole_2.2mm_M2 (at 11.938 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05)) + (fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15)) + (fp_text user %R (at 0.3 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 np_thru_hole circle (at 0 0) (size 2.2 2.2) (drill 2.2) (layers *.Cu *.Mask)) + ) + + (module Symbol:OSHW-Logo_5.7x6mm_SilkScreen (layer B.Cu) (tedit 0) (tstamp 5ECA6987) + (at 87.376 76.962 180) + (descr "Open Source Hardware Logo") + (tags "Logo OSHW") + (attr virtual) + (fp_text reference REF** (at 0 0) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value OSHW-Logo_5.7x6mm_SilkScreen (at 0.75 0) (layer B.Fab) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_poly (pts (xy 0.376964 2.709982) (xy 0.433812 2.40843) (xy 0.853338 2.235488) (xy 1.104984 2.406605) + (xy 1.175458 2.45425) (xy 1.239163 2.49679) (xy 1.293126 2.532285) (xy 1.334373 2.55879) + (xy 1.359934 2.574364) (xy 1.366895 2.577722) (xy 1.379435 2.569086) (xy 1.406231 2.545208) + (xy 1.44428 2.509141) (xy 1.490579 2.463933) (xy 1.542123 2.412636) (xy 1.595909 2.358299) + (xy 1.648935 2.303972) (xy 1.698195 2.252705) (xy 1.740687 2.207549) (xy 1.773407 2.171554) + (xy 1.793351 2.14777) (xy 1.798119 2.13981) (xy 1.791257 2.125135) (xy 1.77202 2.092986) + (xy 1.74243 2.046508) (xy 1.70451 1.988844) (xy 1.660282 1.92314) (xy 1.634654 1.885664) + (xy 1.587941 1.817232) (xy 1.546432 1.75548) (xy 1.51214 1.703481) (xy 1.48708 1.664308) + (xy 1.473264 1.641035) (xy 1.471188 1.636145) (xy 1.475895 1.622245) (xy 1.488723 1.58985) + (xy 1.507738 1.543515) (xy 1.531003 1.487794) (xy 1.556584 1.427242) (xy 1.582545 1.366414) + (xy 1.60695 1.309864) (xy 1.627863 1.262148) (xy 1.643349 1.227819) (xy 1.651472 1.211432) + (xy 1.651952 1.210788) (xy 1.664707 1.207659) (xy 1.698677 1.200679) (xy 1.75034 1.190533) + (xy 1.816176 1.177908) (xy 1.892664 1.163491) (xy 1.93729 1.155177) (xy 2.019021 1.139616) + (xy 2.092843 1.124808) (xy 2.155021 1.111564) (xy 2.201822 1.100695) (xy 2.229509 1.093011) + (xy 2.235074 1.090573) (xy 2.240526 1.07407) (xy 2.244924 1.0368) (xy 2.248272 0.98312) + (xy 2.250574 0.917388) (xy 2.251832 0.843963) (xy 2.252048 0.767204) (xy 2.251227 0.691468) + (xy 2.249371 0.621114) (xy 2.246482 0.5605) (xy 2.242565 0.513984) (xy 2.237622 0.485925) + (xy 2.234657 0.480084) (xy 2.216934 0.473083) (xy 2.179381 0.463073) (xy 2.126964 0.451231) + (xy 2.064652 0.438733) (xy 2.0429 0.43469) (xy 1.938024 0.41548) (xy 1.85518 0.400009) + (xy 1.79163 0.387663) (xy 1.744637 0.377827) (xy 1.711463 0.369886) (xy 1.689371 0.363224) + (xy 1.675624 0.357227) (xy 1.667484 0.351281) (xy 1.666345 0.350106) (xy 1.654977 0.331174) + (xy 1.637635 0.294331) (xy 1.61605 0.244087) (xy 1.591954 0.184954) (xy 1.567079 0.121444) + (xy 1.543157 0.058068) (xy 1.521919 -0.000662) (xy 1.505097 -0.050235) (xy 1.494422 -0.086139) + (xy 1.491627 -0.103862) (xy 1.49186 -0.104483) (xy 1.501331 -0.11897) (xy 1.522818 -0.150844) + (xy 1.554063 -0.196789) (xy 1.592807 -0.253485) (xy 1.636793 -0.317617) (xy 1.649319 -0.335842) + (xy 1.693984 -0.401914) (xy 1.733288 -0.4622) (xy 1.765088 -0.513235) (xy 1.787245 -0.55156) + (xy 1.797617 -0.573711) (xy 1.798119 -0.576432) (xy 1.789405 -0.590736) (xy 1.765325 -0.619072) + (xy 1.728976 -0.658396) (xy 1.683453 -0.705661) (xy 1.631852 -0.757823) (xy 1.577267 -0.811835) + (xy 1.522794 -0.864653) (xy 1.471529 -0.913231) (xy 1.426567 -0.954523) (xy 1.391004 -0.985485) + (xy 1.367935 -1.00307) (xy 1.361554 -1.005941) (xy 1.346699 -0.999178) (xy 1.316286 -0.980939) + (xy 1.275268 -0.954297) (xy 1.243709 -0.932852) (xy 1.186525 -0.893503) (xy 1.118806 -0.847171) + (xy 1.05088 -0.800913) (xy 1.014361 -0.776155) (xy 0.890752 -0.692547) (xy 0.786991 -0.74865) + (xy 0.73972 -0.773228) (xy 0.699523 -0.792331) (xy 0.672326 -0.803227) (xy 0.665402 -0.804743) + (xy 0.657077 -0.793549) (xy 0.640654 -0.761917) (xy 0.617357 -0.712765) (xy 0.588414 -0.64901) + (xy 0.55505 -0.573571) (xy 0.518491 -0.489364) (xy 0.479964 -0.399308) (xy 0.440694 -0.306321) + (xy 0.401908 -0.21332) (xy 0.36483 -0.123223) (xy 0.330689 -0.038948) (xy 0.300708 0.036587) + (xy 0.276116 0.100466) (xy 0.258136 0.149769) (xy 0.247997 0.181579) (xy 0.246366 0.192504) + (xy 0.259291 0.206439) (xy 0.287589 0.22906) (xy 0.325346 0.255667) (xy 0.328515 0.257772) + (xy 0.4261 0.335886) (xy 0.504786 0.427018) (xy 0.563891 0.528255) (xy 0.602732 0.636682) + (xy 0.620628 0.749386) (xy 0.616897 0.863452) (xy 0.590857 0.975966) (xy 0.541825 1.084015) + (xy 0.5274 1.107655) (xy 0.452369 1.203113) (xy 0.36373 1.279768) (xy 0.264549 1.33722) + (xy 0.157895 1.375071) (xy 0.046836 1.392922) (xy -0.065561 1.390375) (xy -0.176227 1.36703) + (xy -0.282094 1.32249) (xy -0.380095 1.256355) (xy -0.41041 1.229513) (xy -0.487562 1.145488) + (xy -0.543782 1.057034) (xy -0.582347 0.957885) (xy -0.603826 0.859697) (xy -0.609128 0.749303) + (xy -0.591448 0.63836) (xy -0.552581 0.530619) (xy -0.494323 0.429831) (xy -0.418469 0.339744) + (xy -0.326817 0.264108) (xy -0.314772 0.256136) (xy -0.276611 0.230026) (xy -0.247601 0.207405) + (xy -0.233732 0.192961) (xy -0.233531 0.192504) (xy -0.236508 0.176879) (xy -0.248311 0.141418) + (xy -0.267714 0.089038) (xy -0.293488 0.022655) (xy -0.324409 -0.054814) (xy -0.359249 -0.14045) + (xy -0.396783 -0.231337) (xy -0.435783 -0.324559) (xy -0.475023 -0.417197) (xy -0.513276 -0.506335) + (xy -0.549317 -0.589055) (xy -0.581917 -0.662441) (xy -0.609852 -0.723575) (xy -0.631895 -0.769541) + (xy -0.646818 -0.797421) (xy -0.652828 -0.804743) (xy -0.671191 -0.799041) (xy -0.705552 -0.783749) + (xy -0.749984 -0.761599) (xy -0.774417 -0.74865) (xy -0.878178 -0.692547) (xy -1.001787 -0.776155) + (xy -1.064886 -0.818987) (xy -1.13397 -0.866122) (xy -1.198707 -0.910503) (xy -1.231134 -0.932852) + (xy -1.276741 -0.963477) (xy -1.31536 -0.987747) (xy -1.341952 -1.002587) (xy -1.35059 -1.005724) + (xy -1.363161 -0.997261) (xy -1.390984 -0.973636) (xy -1.431361 -0.937302) (xy -1.481595 -0.890711) + (xy -1.538988 -0.836317) (xy -1.575286 -0.801392) (xy -1.63879 -0.738996) (xy -1.693673 -0.683188) + (xy -1.737714 -0.636354) (xy -1.768695 -0.600882) (xy -1.784398 -0.579161) (xy -1.785905 -0.574752) + (xy -1.778914 -0.557985) (xy -1.759594 -0.524082) (xy -1.730091 -0.476476) (xy -1.692545 -0.418599) + (xy -1.6491 -0.353884) (xy -1.636745 -0.335842) (xy -1.591727 -0.270267) (xy -1.55134 -0.211228) + (xy -1.51784 -0.162042) (xy -1.493486 -0.126028) (xy -1.480536 -0.106502) (xy -1.479285 -0.104483) + (xy -1.481156 -0.088922) (xy -1.491087 -0.054709) (xy -1.507347 -0.006355) (xy -1.528205 0.051629) + (xy -1.551927 0.11473) (xy -1.576784 0.178437) (xy -1.601042 0.238239) (xy -1.622971 0.289624) + (xy -1.640838 0.328081) (xy -1.652913 0.349098) (xy -1.653771 0.350106) (xy -1.661154 0.356112) + (xy -1.673625 0.362052) (xy -1.69392 0.36854) (xy -1.724778 0.376191) (xy -1.768934 0.38562) + (xy -1.829126 0.397441) (xy -1.908093 0.412271) (xy -2.00857 0.430723) (xy -2.030325 0.43469) + (xy -2.094802 0.447147) (xy -2.151011 0.459334) (xy -2.193987 0.470074) (xy -2.21876 0.478191) + (xy -2.222082 0.480084) (xy -2.227556 0.496862) (xy -2.232006 0.534355) (xy -2.235428 0.588206) + (xy -2.237819 0.654056) (xy -2.239177 0.727547) (xy -2.239499 0.80432) (xy -2.238781 0.880017) + (xy -2.237021 0.95028) (xy -2.234216 1.01075) (xy -2.230362 1.05707) (xy -2.225457 1.084881) + (xy -2.2225 1.090573) (xy -2.206037 1.096314) (xy -2.168551 1.105655) (xy -2.113775 1.117785) + (xy -2.045445 1.131893) (xy -1.967294 1.14717) (xy -1.924716 1.155177) (xy -1.843929 1.170279) + (xy -1.771887 1.18396) (xy -1.712111 1.195533) (xy -1.668121 1.204313) (xy -1.643439 1.209613) + (xy -1.639377 1.210788) (xy -1.632511 1.224035) (xy -1.617998 1.255943) (xy -1.597771 1.301953) + (xy -1.573766 1.357508) (xy -1.547918 1.418047) (xy -1.52216 1.479014) (xy -1.498427 1.535849) + (xy -1.478654 1.583994) (xy -1.464776 1.61889) (xy -1.458726 1.635979) (xy -1.458614 1.636726) + (xy -1.465472 1.650207) (xy -1.484698 1.68123) (xy -1.514272 1.726711) (xy -1.552173 1.783568) + (xy -1.59638 1.848717) (xy -1.622079 1.886138) (xy -1.668907 1.954753) (xy -1.710499 2.017048) + (xy -1.744825 2.069871) (xy -1.769857 2.110073) (xy -1.783565 2.1345) (xy -1.785544 2.139976) + (xy -1.777034 2.152722) (xy -1.753507 2.179937) (xy -1.717968 2.218572) (xy -1.673423 2.265577) + (xy -1.622877 2.317905) (xy -1.569336 2.372505) (xy -1.515805 2.42633) (xy -1.465289 2.47633) + (xy -1.420794 2.519457) (xy -1.385325 2.552661) (xy -1.361887 2.572894) (xy -1.354046 2.577722) + (xy -1.34128 2.570933) (xy -1.310744 2.551858) (xy -1.26541 2.522439) (xy -1.208244 2.484619) + (xy -1.142216 2.440339) (xy -1.09241 2.406605) (xy -0.840764 2.235488) (xy -0.631001 2.321959) + (xy -0.421237 2.40843) (xy -0.364389 2.709982) (xy -0.30754 3.011534) (xy 0.320115 3.011534) + (xy 0.376964 2.709982)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.79946 -1.45803) (xy 1.842711 -1.471245) (xy 1.870558 -1.487941) (xy 1.879629 -1.501145) + (xy 1.877132 -1.516797) (xy 1.860931 -1.541385) (xy 1.847232 -1.5588) (xy 1.818992 -1.590283) + (xy 1.797775 -1.603529) (xy 1.779688 -1.602664) (xy 1.726035 -1.58901) (xy 1.68663 -1.58963) + (xy 1.654632 -1.605104) (xy 1.64389 -1.614161) (xy 1.609505 -1.646027) (xy 1.609505 -2.062179) + (xy 1.471188 -2.062179) (xy 1.471188 -1.458614) (xy 1.540347 -1.458614) (xy 1.581869 -1.460256) + (xy 1.603291 -1.466087) (xy 1.609502 -1.477461) (xy 1.609505 -1.477798) (xy 1.612439 -1.489713) + (xy 1.625704 -1.488159) (xy 1.644084 -1.479563) (xy 1.682046 -1.463568) (xy 1.712872 -1.453945) + (xy 1.752536 -1.451478) (xy 1.79946 -1.45803)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.754012 -1.469002) (xy -0.722717 -1.48395) (xy -0.692409 -1.505541) (xy -0.669318 -1.530391) + (xy -0.6525 -1.562087) (xy -0.641006 -1.604214) (xy -0.633891 -1.660358) (xy -0.630207 -1.734106) + (xy -0.629008 -1.829044) (xy -0.628989 -1.838985) (xy -0.628713 -2.062179) (xy -0.76703 -2.062179) + (xy -0.76703 -1.856418) (xy -0.767128 -1.780189) (xy -0.767809 -1.724939) (xy -0.769651 -1.686501) + (xy -0.773233 -1.660706) (xy -0.779132 -1.643384) (xy -0.787927 -1.630368) (xy -0.80018 -1.617507) + (xy -0.843047 -1.589873) (xy -0.889843 -1.584745) (xy -0.934424 -1.602217) (xy -0.949928 -1.615221) + (xy -0.96131 -1.627447) (xy -0.969481 -1.64054) (xy -0.974974 -1.658615) (xy -0.97832 -1.685787) + (xy -0.980051 -1.72617) (xy -0.980697 -1.783879) (xy -0.980792 -1.854132) (xy -0.980792 -2.062179) + (xy -1.119109 -2.062179) (xy -1.119109 -1.458614) (xy -1.04995 -1.458614) (xy -1.008428 -1.460256) + (xy -0.987006 -1.466087) (xy -0.980795 -1.477461) (xy -0.980792 -1.477798) (xy -0.97791 -1.488938) + (xy -0.965199 -1.487674) (xy -0.939926 -1.475434) (xy -0.882605 -1.457424) (xy -0.817037 -1.455421) + (xy -0.754012 -1.469002)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.677898 -1.456457) (xy 2.710096 -1.464279) (xy 2.771825 -1.492921) (xy 2.82461 -1.536667) + (xy 2.861141 -1.589117) (xy 2.86616 -1.600893) (xy 2.873045 -1.63174) (xy 2.877864 -1.677371) + (xy 2.879505 -1.723492) (xy 2.879505 -1.810693) (xy 2.697178 -1.810693) (xy 2.621979 -1.810978) + (xy 2.569003 -1.812704) (xy 2.535325 -1.817181) (xy 2.51802 -1.82572) (xy 2.514163 -1.83963) + (xy 2.520829 -1.860222) (xy 2.53277 -1.884315) (xy 2.56608 -1.924525) (xy 2.612368 -1.944558) + (xy 2.668944 -1.943905) (xy 2.733031 -1.922101) (xy 2.788417 -1.895193) (xy 2.834375 -1.931532) + (xy 2.880333 -1.967872) (xy 2.837096 -2.007819) (xy 2.779374 -2.045563) (xy 2.708386 -2.06832) + (xy 2.632029 -2.074688) (xy 2.558199 -2.063268) (xy 2.546287 -2.059393) (xy 2.481399 -2.025506) + (xy 2.43313 -1.974986) (xy 2.400465 -1.906325) (xy 2.382385 -1.818014) (xy 2.382175 -1.816121) + (xy 2.380556 -1.719878) (xy 2.3871 -1.685542) (xy 2.514852 -1.685542) (xy 2.526584 -1.690822) + (xy 2.558438 -1.694867) (xy 2.605397 -1.697176) (xy 2.635154 -1.697525) (xy 2.690648 -1.697306) + (xy 2.725346 -1.695916) (xy 2.743601 -1.692251) (xy 2.749766 -1.68521) (xy 2.748195 -1.67369) + (xy 2.746878 -1.669233) (xy 2.724382 -1.627355) (xy 2.689003 -1.593604) (xy 2.65778 -1.578773) + (xy 2.616301 -1.579668) (xy 2.574269 -1.598164) (xy 2.539012 -1.628786) (xy 2.517854 -1.666062) + (xy 2.514852 -1.685542) (xy 2.3871 -1.685542) (xy 2.39669 -1.635229) (xy 2.428698 -1.564191) + (xy 2.474701 -1.508779) (xy 2.532821 -1.471009) (xy 2.60118 -1.452896) (xy 2.677898 -1.456457)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.217226 -1.46388) (xy 2.29008 -1.49483) (xy 2.313027 -1.509895) (xy 2.342354 -1.533048) + (xy 2.360764 -1.551253) (xy 2.363961 -1.557183) (xy 2.354935 -1.57034) (xy 2.331837 -1.592667) + (xy 2.313344 -1.60825) (xy 2.262728 -1.648926) (xy 2.22276 -1.615295) (xy 2.191874 -1.593584) + (xy 2.161759 -1.58609) (xy 2.127292 -1.58792) (xy 2.072561 -1.601528) (xy 2.034886 -1.629772) + (xy 2.011991 -1.675433) (xy 2.001597 -1.741289) (xy 2.001595 -1.741331) (xy 2.002494 -1.814939) + (xy 2.016463 -1.868946) (xy 2.044328 -1.905716) (xy 2.063325 -1.918168) (xy 2.113776 -1.933673) + (xy 2.167663 -1.933683) (xy 2.214546 -1.918638) (xy 2.225644 -1.911287) (xy 2.253476 -1.892511) + (xy 2.275236 -1.889434) (xy 2.298704 -1.903409) (xy 2.324649 -1.92851) (xy 2.365716 -1.97088) + (xy 2.320121 -2.008464) (xy 2.249674 -2.050882) (xy 2.170233 -2.071785) (xy 2.087215 -2.070272) + (xy 2.032694 -2.056411) (xy 1.96897 -2.022135) (xy 1.918005 -1.968212) (xy 1.894851 -1.930149) + (xy 1.876099 -1.875536) (xy 1.866715 -1.806369) (xy 1.866643 -1.731407) (xy 1.875824 -1.659409) + (xy 1.894199 -1.599137) (xy 1.897093 -1.592958) (xy 1.939952 -1.532351) (xy 1.997979 -1.488224) + (xy 2.066591 -1.461493) (xy 2.141201 -1.453073) (xy 2.217226 -1.46388)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.993367 -1.654342) (xy 0.994555 -1.746563) (xy 0.998897 -1.81661) (xy 1.007558 -1.867381) + (xy 1.021704 -1.901772) (xy 1.0425 -1.922679) (xy 1.07111 -1.933) (xy 1.106535 -1.935636) + (xy 1.143636 -1.932682) (xy 1.171818 -1.921889) (xy 1.192243 -1.90036) (xy 1.206079 -1.865199) + (xy 1.214491 -1.81351) (xy 1.218643 -1.742394) (xy 1.219703 -1.654342) (xy 1.219703 -1.458614) + (xy 1.35802 -1.458614) (xy 1.35802 -2.062179) (xy 1.288862 -2.062179) (xy 1.24717 -2.060489) + (xy 1.225701 -2.054556) (xy 1.219703 -2.043293) (xy 1.216091 -2.033261) (xy 1.201714 -2.035383) + (xy 1.172736 -2.04958) (xy 1.106319 -2.07148) (xy 1.035875 -2.069928) (xy 0.968377 -2.046147) + (xy 0.936233 -2.027362) (xy 0.911715 -2.007022) (xy 0.893804 -1.981573) (xy 0.881479 -1.947458) + (xy 0.873723 -1.901121) (xy 0.869516 -1.839007) (xy 0.86784 -1.757561) (xy 0.867624 -1.694578) + (xy 0.867624 -1.458614) (xy 0.993367 -1.458614) (xy 0.993367 -1.654342)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.610762 -1.466055) (xy 0.674363 -1.500692) (xy 0.724123 -1.555372) (xy 0.747568 -1.599842) + (xy 0.757634 -1.639121) (xy 0.764156 -1.695116) (xy 0.766951 -1.759621) (xy 0.765836 -1.824429) + (xy 0.760626 -1.881334) (xy 0.754541 -1.911727) (xy 0.734014 -1.953306) (xy 0.698463 -1.997468) + (xy 0.655619 -2.036087) (xy 0.613211 -2.061034) (xy 0.612177 -2.06143) (xy 0.559553 -2.072331) + (xy 0.497188 -2.072601) (xy 0.437924 -2.062676) (xy 0.41504 -2.054722) (xy 0.356102 -2.0213) + (xy 0.31389 -1.977511) (xy 0.286156 -1.919538) (xy 0.270651 -1.843565) (xy 0.267143 -1.803771) + (xy 0.26759 -1.753766) (xy 0.402376 -1.753766) (xy 0.406917 -1.826732) (xy 0.419986 -1.882334) + (xy 0.440756 -1.917861) (xy 0.455552 -1.92802) (xy 0.493464 -1.935104) (xy 0.538527 -1.933007) + (xy 0.577487 -1.922812) (xy 0.587704 -1.917204) (xy 0.614659 -1.884538) (xy 0.632451 -1.834545) + (xy 0.640024 -1.773705) (xy 0.636325 -1.708497) (xy 0.628057 -1.669253) (xy 0.60432 -1.623805) + (xy 0.566849 -1.595396) (xy 0.52172 -1.585573) (xy 0.475011 -1.595887) (xy 0.439132 -1.621112) + (xy 0.420277 -1.641925) (xy 0.409272 -1.662439) (xy 0.404026 -1.690203) (xy 0.402449 -1.732762) + (xy 0.402376 -1.753766) (xy 0.26759 -1.753766) (xy 0.268094 -1.69758) (xy 0.285388 -1.610501) + (xy 0.319029 -1.54253) (xy 0.369018 -1.493664) (xy 0.435356 -1.463899) (xy 0.449601 -1.460448) + (xy 0.53521 -1.452345) (xy 0.610762 -1.466055)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.014017 -1.456452) (xy 0.061634 -1.465482) (xy 0.111034 -1.48437) (xy 0.116312 -1.486777) + (xy 0.153774 -1.506476) (xy 0.179717 -1.524781) (xy 0.188103 -1.536508) (xy 0.180117 -1.555632) + (xy 0.16072 -1.58385) (xy 0.15211 -1.594384) (xy 0.116628 -1.635847) (xy 0.070885 -1.608858) + (xy 0.02735 -1.590878) (xy -0.02295 -1.581267) (xy -0.071188 -1.58066) (xy -0.108533 -1.589691) + (xy -0.117495 -1.595327) (xy -0.134563 -1.621171) (xy -0.136637 -1.650941) (xy -0.123866 -1.674197) + (xy -0.116312 -1.678708) (xy -0.093675 -1.684309) (xy -0.053885 -1.690892) (xy -0.004834 -1.697183) + (xy 0.004215 -1.69817) (xy 0.082996 -1.711798) (xy 0.140136 -1.734946) (xy 0.17803 -1.769752) + (xy 0.199079 -1.818354) (xy 0.205635 -1.877718) (xy 0.196577 -1.945198) (xy 0.167164 -1.998188) + (xy 0.117278 -2.036783) (xy 0.0468 -2.061081) (xy -0.031435 -2.070667) (xy -0.095234 -2.070552) + (xy -0.146984 -2.061845) (xy -0.182327 -2.049825) (xy -0.226983 -2.02888) (xy -0.268253 -2.004574) + (xy -0.282921 -1.993876) (xy -0.320643 -1.963084) (xy -0.275148 -1.917049) (xy -0.229653 -1.871013) + (xy -0.177928 -1.905243) (xy -0.126048 -1.930952) (xy -0.070649 -1.944399) (xy -0.017395 -1.945818) + (xy 0.028049 -1.935443) (xy 0.060016 -1.913507) (xy 0.070338 -1.894998) (xy 0.068789 -1.865314) + (xy 0.04314 -1.842615) (xy -0.00654 -1.82694) (xy -0.060969 -1.819695) (xy -0.144736 -1.805873) + (xy -0.206967 -1.779796) (xy -0.248493 -1.740699) (xy -0.270147 -1.68782) (xy -0.273147 -1.625126) + (xy -0.258329 -1.559642) (xy -0.224546 -1.510144) (xy -0.171495 -1.476408) (xy -0.098874 -1.458207) + (xy -0.045072 -1.454639) (xy 0.014017 -1.456452)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.356699 -1.472614) (xy -1.344168 -1.478514) (xy -1.300799 -1.510283) (xy -1.25979 -1.556646) + (xy -1.229168 -1.607696) (xy -1.220459 -1.631166) (xy -1.212512 -1.673091) (xy -1.207774 -1.723757) + (xy -1.207199 -1.744679) (xy -1.207129 -1.810693) (xy -1.587083 -1.810693) (xy -1.578983 -1.845273) + (xy -1.559104 -1.88617) (xy -1.524347 -1.921514) (xy -1.482998 -1.944282) (xy -1.456649 -1.94901) + (xy -1.420916 -1.943273) (xy -1.378282 -1.928882) (xy -1.363799 -1.922262) (xy -1.31024 -1.895513) + (xy -1.264533 -1.930376) (xy -1.238158 -1.953955) (xy -1.224124 -1.973417) (xy -1.223414 -1.979129) + (xy -1.235951 -1.992973) (xy -1.263428 -2.014012) (xy -1.288366 -2.030425) (xy -1.355664 -2.05993) + (xy -1.43111 -2.073284) (xy -1.505888 -2.069812) (xy -1.565495 -2.051663) (xy -1.626941 -2.012784) + (xy -1.670608 -1.961595) (xy -1.697926 -1.895367) (xy -1.710322 -1.811371) (xy -1.711421 -1.772936) + (xy -1.707022 -1.684861) (xy -1.706482 -1.682299) (xy -1.580582 -1.682299) (xy -1.577115 -1.690558) + (xy -1.562863 -1.695113) (xy -1.53347 -1.697065) (xy -1.484575 -1.697517) (xy -1.465748 -1.697525) + (xy -1.408467 -1.696843) (xy -1.372141 -1.694364) (xy -1.352604 -1.689443) (xy -1.34569 -1.681434) + (xy -1.345445 -1.678862) (xy -1.353336 -1.658423) (xy -1.373085 -1.629789) (xy -1.381575 -1.619763) + (xy -1.413094 -1.591408) (xy -1.445949 -1.580259) (xy -1.463651 -1.579327) (xy -1.511539 -1.590981) + (xy -1.551699 -1.622285) (xy -1.577173 -1.667752) (xy -1.577625 -1.669233) (xy -1.580582 -1.682299) + (xy -1.706482 -1.682299) (xy -1.692392 -1.61551) (xy -1.666038 -1.560025) (xy -1.633807 -1.520639) + (xy -1.574217 -1.477931) (xy -1.504168 -1.455109) (xy -1.429661 -1.453046) (xy -1.356699 -1.472614)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -2.538261 -1.465148) (xy -2.472479 -1.494231) (xy -2.42254 -1.542793) (xy -2.388374 -1.610908) + (xy -2.369907 -1.698651) (xy -2.368583 -1.712351) (xy -2.367546 -1.808939) (xy -2.380993 -1.893602) + (xy -2.408108 -1.962221) (xy -2.422627 -1.984294) (xy -2.473201 -2.031011) (xy -2.537609 -2.061268) + (xy -2.609666 -2.073824) (xy -2.683185 -2.067439) (xy -2.739072 -2.047772) (xy -2.787132 -2.014629) + (xy -2.826412 -1.971175) (xy -2.827092 -1.970158) (xy -2.843044 -1.943338) (xy -2.85341 -1.916368) + (xy -2.859688 -1.882332) (xy -2.863373 -1.83431) (xy -2.864997 -1.794931) (xy -2.865672 -1.759219) + (xy -2.739955 -1.759219) (xy -2.738726 -1.79477) (xy -2.734266 -1.842094) (xy -2.726397 -1.872465) + (xy -2.712207 -1.894072) (xy -2.698917 -1.906694) (xy -2.651802 -1.933122) (xy -2.602505 -1.936653) + (xy -2.556593 -1.917639) (xy -2.533638 -1.896331) (xy -2.517096 -1.874859) (xy -2.507421 -1.854313) + (xy -2.503174 -1.827574) (xy -2.50292 -1.787523) (xy -2.504228 -1.750638) (xy -2.507043 -1.697947) + (xy -2.511505 -1.663772) (xy -2.519548 -1.64148) (xy -2.533103 -1.624442) (xy -2.543845 -1.614703) + (xy -2.588777 -1.589123) (xy -2.637249 -1.587847) (xy -2.677894 -1.602999) (xy -2.712567 -1.634642) + (xy -2.733224 -1.68662) (xy -2.739955 -1.759219) (xy -2.865672 -1.759219) (xy -2.866479 -1.716621) + (xy -2.863948 -1.658056) (xy -2.856362 -1.614007) (xy -2.842681 -1.579248) (xy -2.821865 -1.548551) + (xy -2.814147 -1.539436) (xy -2.765889 -1.494021) (xy -2.714128 -1.467493) (xy -2.650828 -1.456379) + (xy -2.619961 -1.455471) (xy -2.538261 -1.465148)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.032581 -2.40497) (xy 2.092685 -2.420597) (xy 2.143021 -2.452848) (xy 2.167393 -2.47694) + (xy 2.207345 -2.533895) (xy 2.230242 -2.599965) (xy 2.238108 -2.681182) (xy 2.238148 -2.687748) + (xy 2.238218 -2.753763) (xy 1.858264 -2.753763) (xy 1.866363 -2.788342) (xy 1.880987 -2.819659) + (xy 1.906581 -2.852291) (xy 1.911935 -2.8575) (xy 1.957943 -2.885694) (xy 2.01041 -2.890475) + (xy 2.070803 -2.871926) (xy 2.08104 -2.866931) (xy 2.112439 -2.851745) (xy 2.13347 -2.843094) + (xy 2.137139 -2.842293) (xy 2.149948 -2.850063) (xy 2.174378 -2.869072) (xy 2.186779 -2.87946) + (xy 2.212476 -2.903321) (xy 2.220915 -2.919077) (xy 2.215058 -2.933571) (xy 2.211928 -2.937534) + (xy 2.190725 -2.954879) (xy 2.155738 -2.975959) (xy 2.131337 -2.988265) (xy 2.062072 -3.009946) + (xy 1.985388 -3.016971) (xy 1.912765 -3.008647) (xy 1.892426 -3.002686) (xy 1.829476 -2.968952) + (xy 1.782815 -2.917045) (xy 1.752173 -2.846459) (xy 1.737282 -2.756692) (xy 1.735647 -2.709753) + (xy 1.740421 -2.641413) (xy 1.86099 -2.641413) (xy 1.872652 -2.646465) (xy 1.903998 -2.650429) + (xy 1.949571 -2.652768) (xy 1.980446 -2.653169) (xy 2.035981 -2.652783) (xy 2.071033 -2.650975) + (xy 2.090262 -2.646773) (xy 2.09833 -2.639203) (xy 2.099901 -2.628218) (xy 2.089121 -2.594381) + (xy 2.06198 -2.56094) (xy 2.026277 -2.535272) (xy 1.99056 -2.524772) (xy 1.942048 -2.534086) + (xy 1.900053 -2.561013) (xy 1.870936 -2.599827) (xy 1.86099 -2.641413) (xy 1.740421 -2.641413) + (xy 1.742599 -2.610236) (xy 1.764055 -2.530949) (xy 1.80047 -2.471263) (xy 1.852297 -2.430549) + (xy 1.91999 -2.408179) (xy 1.956662 -2.403871) (xy 2.032581 -2.40497)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.635255 -2.401486) (xy 1.683595 -2.411015) (xy 1.711114 -2.425125) (xy 1.740064 -2.448568) + (xy 1.698876 -2.500571) (xy 1.673482 -2.532064) (xy 1.656238 -2.547428) (xy 1.639102 -2.549776) + (xy 1.614027 -2.542217) (xy 1.602257 -2.537941) (xy 1.55427 -2.531631) (xy 1.510324 -2.545156) + (xy 1.47806 -2.57571) (xy 1.472819 -2.585452) (xy 1.467112 -2.611258) (xy 1.462706 -2.658817) + (xy 1.459811 -2.724758) (xy 1.458631 -2.80571) (xy 1.458614 -2.817226) (xy 1.458614 -3.017822) + (xy 1.320297 -3.017822) (xy 1.320297 -2.401683) (xy 1.389456 -2.401683) (xy 1.429333 -2.402725) + (xy 1.450107 -2.407358) (xy 1.457789 -2.417849) (xy 1.458614 -2.427745) (xy 1.458614 -2.453806) + (xy 1.491745 -2.427745) (xy 1.529735 -2.409965) (xy 1.58077 -2.401174) (xy 1.635255 -2.401486)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 1.038411 -2.405417) (xy 1.091411 -2.41829) (xy 1.106731 -2.42511) (xy 1.136428 -2.442974) + (xy 1.15922 -2.463093) (xy 1.176083 -2.488962) (xy 1.187998 -2.524073) (xy 1.195942 -2.57192) + (xy 1.200894 -2.635996) (xy 1.203831 -2.719794) (xy 1.204947 -2.775768) (xy 1.209052 -3.017822) + (xy 1.138932 -3.017822) (xy 1.096393 -3.016038) (xy 1.074476 -3.009942) (xy 1.068812 -2.999706) + (xy 1.065821 -2.988637) (xy 1.052451 -2.990754) (xy 1.034233 -2.999629) (xy 0.988624 -3.013233) + (xy 0.930007 -3.016899) (xy 0.868354 -3.010903) (xy 0.813638 -2.995521) (xy 0.80873 -2.993386) + (xy 0.758723 -2.958255) (xy 0.725756 -2.909419) (xy 0.710587 -2.852333) (xy 0.711746 -2.831824) + (xy 0.835508 -2.831824) (xy 0.846413 -2.859425) (xy 0.878745 -2.879204) (xy 0.93091 -2.889819) + (xy 0.958787 -2.891228) (xy 1.005247 -2.88762) (xy 1.036129 -2.873597) (xy 1.043664 -2.866931) + (xy 1.064076 -2.830666) (xy 1.068812 -2.797773) (xy 1.068812 -2.753763) (xy 1.007513 -2.753763) + (xy 0.936256 -2.757395) (xy 0.886276 -2.768818) (xy 0.854696 -2.788824) (xy 0.847626 -2.797743) + (xy 0.835508 -2.831824) (xy 0.711746 -2.831824) (xy 0.713971 -2.792456) (xy 0.736663 -2.735244) + (xy 0.767624 -2.69658) (xy 0.786376 -2.679864) (xy 0.804733 -2.668878) (xy 0.828619 -2.66218) + (xy 0.863957 -2.658326) (xy 0.916669 -2.655873) (xy 0.937577 -2.655168) (xy 1.068812 -2.650879) + (xy 1.06862 -2.611158) (xy 1.063537 -2.569405) (xy 1.045162 -2.544158) (xy 1.008039 -2.52803) + (xy 1.007043 -2.527742) (xy 0.95441 -2.5214) (xy 0.902906 -2.529684) (xy 0.86463 -2.549827) + (xy 0.849272 -2.559773) (xy 0.83273 -2.558397) (xy 0.807275 -2.543987) (xy 0.792328 -2.533817) + (xy 0.763091 -2.512088) (xy 0.74498 -2.4958) (xy 0.742074 -2.491137) (xy 0.75404 -2.467005) + (xy 0.789396 -2.438185) (xy 0.804753 -2.428461) (xy 0.848901 -2.411714) (xy 0.908398 -2.402227) + (xy 0.974487 -2.400095) (xy 1.038411 -2.405417)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy 0.281524 -2.404237) (xy 0.331255 -2.407971) (xy 0.461291 -2.797773) (xy 0.481678 -2.728614) + (xy 0.493946 -2.685874) (xy 0.510085 -2.628115) (xy 0.527512 -2.564625) (xy 0.536726 -2.53057) + (xy 0.571388 -2.401683) (xy 0.714391 -2.401683) (xy 0.671646 -2.536857) (xy 0.650596 -2.603342) + (xy 0.625167 -2.683539) (xy 0.59861 -2.767193) (xy 0.574902 -2.841782) (xy 0.520902 -3.011535) + (xy 0.462598 -3.015328) (xy 0.404295 -3.019122) (xy 0.372679 -2.914734) (xy 0.353182 -2.849889) + (xy 0.331904 -2.7784) (xy 0.313308 -2.715263) (xy 0.312574 -2.71275) (xy 0.298684 -2.669969) + (xy 0.286429 -2.640779) (xy 0.277846 -2.629741) (xy 0.276082 -2.631018) (xy 0.269891 -2.64813) + (xy 0.258128 -2.684787) (xy 0.242225 -2.736378) (xy 0.223614 -2.798294) (xy 0.213543 -2.832352) + (xy 0.159007 -3.017822) (xy 0.043264 -3.017822) (xy -0.049263 -2.725471) (xy -0.075256 -2.643462) + (xy -0.098934 -2.568987) (xy -0.11918 -2.505544) (xy -0.134874 -2.456632) (xy -0.144898 -2.425749) + (xy -0.147945 -2.416726) (xy -0.145533 -2.407487) (xy -0.126592 -2.403441) (xy -0.087177 -2.403846) + (xy -0.081007 -2.404152) (xy -0.007914 -2.407971) (xy 0.039957 -2.58401) (xy 0.057553 -2.648211) + (xy 0.073277 -2.704649) (xy 0.085746 -2.748422) (xy 0.093574 -2.77463) (xy 0.09502 -2.778903) + (xy 0.101014 -2.77399) (xy 0.113101 -2.748532) (xy 0.129893 -2.705997) (xy 0.150003 -2.64985) + (xy 0.167003 -2.59913) (xy 0.231794 -2.400504) (xy 0.281524 -2.404237)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.201188 -3.017822) (xy -0.270346 -3.017822) (xy -0.310488 -3.016645) (xy -0.331394 -3.011772) + (xy -0.338922 -3.001186) (xy -0.339505 -2.994029) (xy -0.340774 -2.979676) (xy -0.348779 -2.976923) + (xy -0.369815 -2.985771) (xy -0.386173 -2.994029) (xy -0.448977 -3.013597) (xy -0.517248 -3.014729) + (xy -0.572752 -3.000135) (xy -0.624438 -2.964877) (xy -0.663838 -2.912835) (xy -0.685413 -2.85145) + (xy -0.685962 -2.848018) (xy -0.689167 -2.810571) (xy -0.690761 -2.756813) (xy -0.690633 -2.716155) + (xy -0.553279 -2.716155) (xy -0.550097 -2.770194) (xy -0.542859 -2.814735) (xy -0.53306 -2.839888) + (xy -0.495989 -2.87426) (xy -0.451974 -2.886582) (xy -0.406584 -2.876618) (xy -0.367797 -2.846895) + (xy -0.353108 -2.826905) (xy -0.344519 -2.80305) (xy -0.340496 -2.76823) (xy -0.339505 -2.71593) + (xy -0.341278 -2.664139) (xy -0.345963 -2.618634) (xy -0.352603 -2.588181) (xy -0.35371 -2.585452) + (xy -0.380491 -2.553) (xy -0.419579 -2.535183) (xy -0.463315 -2.532306) (xy -0.504038 -2.544674) + (xy -0.534087 -2.572593) (xy -0.537204 -2.578148) (xy -0.546961 -2.612022) (xy -0.552277 -2.660728) + (xy -0.553279 -2.716155) (xy -0.690633 -2.716155) (xy -0.690568 -2.69554) (xy -0.689664 -2.662563) + (xy -0.683514 -2.580981) (xy -0.670733 -2.51973) (xy -0.649471 -2.474449) (xy -0.617878 -2.440779) + (xy -0.587207 -2.421014) (xy -0.544354 -2.40712) (xy -0.491056 -2.402354) (xy -0.43648 -2.406236) + (xy -0.389792 -2.418282) (xy -0.365124 -2.432693) (xy -0.339505 -2.455878) (xy -0.339505 -2.162773) + (xy -0.201188 -2.162773) (xy -0.201188 -3.017822)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -0.993356 -2.40302) (xy -0.974539 -2.40866) (xy -0.968473 -2.421053) (xy -0.968218 -2.426647) + (xy -0.967129 -2.44223) (xy -0.959632 -2.444676) (xy -0.939381 -2.433993) (xy -0.927351 -2.426694) + (xy -0.8894 -2.411063) (xy -0.844072 -2.403334) (xy -0.796544 -2.40274) (xy -0.751995 -2.408513) + (xy -0.715602 -2.419884) (xy -0.692543 -2.436088) (xy -0.687996 -2.456355) (xy -0.690291 -2.461843) + (xy -0.70702 -2.484626) (xy -0.732963 -2.512647) (xy -0.737655 -2.517177) (xy -0.762383 -2.538005) + (xy -0.783718 -2.544735) (xy -0.813555 -2.540038) (xy -0.825508 -2.536917) (xy -0.862705 -2.529421) + (xy -0.888859 -2.532792) (xy -0.910946 -2.544681) (xy -0.931178 -2.560635) (xy -0.946079 -2.5807) + (xy -0.956434 -2.608702) (xy -0.963029 -2.648467) (xy -0.966649 -2.703823) (xy -0.968078 -2.778594) + (xy -0.968218 -2.82374) (xy -0.968218 -3.017822) (xy -1.09396 -3.017822) (xy -1.09396 -2.401683) + (xy -1.031089 -2.401683) (xy -0.993356 -2.40302)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.38421 -2.406555) (xy -1.325055 -2.422339) (xy -1.280023 -2.450948) (xy -1.248246 -2.488419) + (xy -1.238366 -2.504411) (xy -1.231073 -2.521163) (xy -1.225974 -2.542592) (xy -1.222679 -2.572616) + (xy -1.220797 -2.615154) (xy -1.219937 -2.674122) (xy -1.219707 -2.75344) (xy -1.219703 -2.774484) + (xy -1.219703 -3.017822) (xy -1.280059 -3.017822) (xy -1.318557 -3.015126) (xy -1.347023 -3.008295) + (xy -1.354155 -3.004083) (xy -1.373652 -2.996813) (xy -1.393566 -3.004083) (xy -1.426353 -3.01316) + (xy -1.473978 -3.016813) (xy -1.526764 -3.015228) (xy -1.575036 -3.008589) (xy -1.603218 -3.000072) + (xy -1.657753 -2.965063) (xy -1.691835 -2.916479) (xy -1.707157 -2.851882) (xy -1.707299 -2.850223) + (xy -1.705955 -2.821566) (xy -1.584356 -2.821566) (xy -1.573726 -2.854161) (xy -1.55641 -2.872505) + (xy -1.521652 -2.886379) (xy -1.475773 -2.891917) (xy -1.428988 -2.889191) (xy -1.391514 -2.878274) + (xy -1.381015 -2.871269) (xy -1.362668 -2.838904) (xy -1.35802 -2.802111) (xy -1.35802 -2.753763) + (xy -1.427582 -2.753763) (xy -1.493667 -2.75885) (xy -1.543764 -2.773263) (xy -1.574929 -2.795729) + (xy -1.584356 -2.821566) (xy -1.705955 -2.821566) (xy -1.703987 -2.779647) (xy -1.68071 -2.723845) + (xy -1.636948 -2.681647) (xy -1.630899 -2.677808) (xy -1.604907 -2.665309) (xy -1.572735 -2.65774) + (xy -1.52776 -2.654061) (xy -1.474331 -2.653216) (xy -1.35802 -2.653169) (xy -1.35802 -2.604411) + (xy -1.362953 -2.566581) (xy -1.375543 -2.541236) (xy -1.377017 -2.539887) (xy -1.405034 -2.5288) + (xy -1.447326 -2.524503) (xy -1.494064 -2.526615) (xy -1.535418 -2.534756) (xy -1.559957 -2.546965) + (xy -1.573253 -2.556746) (xy -1.587294 -2.558613) (xy -1.606671 -2.5506) (xy -1.635976 -2.530739) + (xy -1.679803 -2.497063) (xy -1.683825 -2.493909) (xy -1.681764 -2.482236) (xy -1.664568 -2.462822) + (xy -1.638433 -2.441248) (xy -1.609552 -2.423096) (xy -1.600478 -2.418809) (xy -1.56738 -2.410256) + (xy -1.51888 -2.404155) (xy -1.464695 -2.401708) (xy -1.462161 -2.401703) (xy -1.38421 -2.406555)) (layer B.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.908759 -1.469184) (xy -1.882247 -1.482282) (xy -1.849553 -1.505106) (xy -1.825725 -1.529996) + (xy -1.809406 -1.561249) (xy -1.79924 -1.603166) (xy -1.793872 -1.660044) (xy -1.791944 -1.736184) + (xy -1.791831 -1.768917) (xy -1.792161 -1.840656) (xy -1.793527 -1.891927) (xy -1.7965 -1.927404) + (xy -1.801649 -1.951763) (xy -1.809543 -1.96968) (xy -1.817757 -1.981902) (xy -1.870187 -2.033905) + (xy -1.93193 -2.065184) (xy -1.998536 -2.074592) (xy -2.065558 -2.06098) (xy -2.086792 -2.051354) + (xy -2.137624 -2.024859) (xy -2.137624 -2.440052) (xy -2.100525 -2.420868) (xy -2.051643 -2.406025) + (xy -1.991561 -2.402222) (xy -1.931564 -2.409243) (xy -1.886256 -2.425013) (xy -1.848675 -2.455047) + (xy -1.816564 -2.498024) (xy -1.81415 -2.502436) (xy -1.803967 -2.523221) (xy -1.79653 -2.54417) + (xy -1.791411 -2.569548) (xy -1.788181 -2.603618) (xy -1.786413 -2.650641) (xy -1.785677 -2.714882) + (xy -1.785544 -2.787176) (xy -1.785544 -3.017822) (xy -1.923861 -3.017822) (xy -1.923861 -2.592533) + (xy -1.962549 -2.559979) (xy -2.002738 -2.53394) (xy -2.040797 -2.529205) (xy -2.079066 -2.541389) + (xy -2.099462 -2.55332) (xy -2.114642 -2.570313) (xy -2.125438 -2.595995) (xy -2.132683 -2.633991) + (xy -2.137208 -2.687926) (xy -2.139844 -2.761425) (xy -2.140772 -2.810347) (xy -2.143911 -3.011535) + (xy -2.209926 -3.015336) (xy -2.27594 -3.019136) (xy -2.27594 -1.77065) (xy -2.137624 -1.77065) + (xy -2.134097 -1.840254) (xy -2.122215 -1.888569) (xy -2.10002 -1.918631) (xy -2.065559 -1.933471) + (xy -2.030742 -1.936436) (xy -1.991329 -1.933028) (xy -1.965171 -1.919617) (xy -1.948814 -1.901896) + (xy -1.935937 -1.882835) (xy -1.928272 -1.861601) (xy -1.924861 -1.831849) (xy -1.924749 -1.787236) + (xy -1.925897 -1.74988) (xy -1.928532 -1.693604) (xy -1.932456 -1.656658) (xy -1.939063 -1.633223) + (xy -1.949749 -1.61748) (xy -1.959833 -1.60838) (xy -2.00197 -1.588537) (xy -2.05184 -1.585332) + (xy -2.080476 -1.592168) (xy -2.108828 -1.616464) (xy -2.127609 -1.663728) (xy -2.136712 -1.733624) + (xy -2.137624 -1.77065) (xy -2.27594 -1.77065) (xy -2.27594 -1.458614) (xy -2.206782 -1.458614) + (xy -2.16526 -1.460256) (xy -2.143838 -1.466087) (xy -2.137626 -1.477461) (xy -2.137624 -1.477798) + (xy -2.134742 -1.488938) (xy -2.12203 -1.487673) (xy -2.096757 -1.475433) (xy -2.037869 -1.456707) + (xy -1.971615 -1.454739) (xy -1.908759 -1.469184)) (layer B.SilkS) (width 0.01)) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_2x06_P2.54mm_Horizontal (layer F.Cu) (tedit 59FED5CB) (tstamp 5ED4BEE9) + (at 61.722 77.47 180) + (descr "Through hole angled pin header, 2x06, 2.54mm pitch, 6mm pin length, double rows") + (tags "Through hole angled pin header THT 2x06 2.54mm double row") + (path /5E5F93EF) + (fp_text reference J3 (at 1.27 14.986) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_02x06_Top_Bottom (at 7.112 15.494) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 4.675 -1.27) (end 6.58 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 -1.27) (end 6.58 13.97) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 13.97) (end 4.04 13.97) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 13.97) (end 4.04 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 -0.635) (end 4.675 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 -0.32) (end 4.04 -0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 0.32) (end 4.04 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 -0.32) (end 12.58 -0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 -0.32) (end 12.58 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 0.32) (end 12.58 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.22) (end 4.04 2.22) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.22) (end -0.32 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.86) (end 4.04 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 2.22) (end 12.58 2.22) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 2.22) (end 12.58 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 2.86) (end 12.58 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 4.76) (end 4.04 4.76) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 4.76) (end -0.32 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 5.4) (end 4.04 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 4.76) (end 12.58 4.76) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 4.76) (end 12.58 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 5.4) (end 12.58 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.3) (end 4.04 7.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.3) (end -0.32 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.94) (end 4.04 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 7.3) (end 12.58 7.3) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 7.3) (end 12.58 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 7.94) (end 12.58 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 9.84) (end 4.04 9.84) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 9.84) (end -0.32 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 10.48) (end 4.04 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 9.84) (end 12.58 9.84) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 9.84) (end 12.58 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 10.48) (end 12.58 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 12.38) (end 4.04 12.38) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 12.38) (end -0.32 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 13.02) (end 4.04 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 12.38) (end 12.58 12.38) (layer F.Fab) (width 0.1)) + (fp_line (start 12.58 12.38) (end 12.58 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start 6.58 13.02) (end 12.58 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start 3.98 -1.33) (end 3.98 14.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 14.03) (end 6.64 14.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 14.03) (end 6.64 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -1.33) (end 3.98 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -0.38) (end 12.64 -0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 -0.38) (end 12.64 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 0.38) (end 6.64 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -0.32) (end 12.64 -0.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -0.2) (end 12.64 -0.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 -0.08) (end 12.64 -0.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 0.04) (end 12.64 0.04) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 0.16) (end 12.64 0.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 0.28) (end 12.64 0.28) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 -0.38) (end 3.98 -0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 0.38) (end 3.98 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.11 -0.38) (end 1.497071 -0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.11 0.38) (end 1.497071 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 1.27) (end 6.64 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 2.16) (end 12.64 2.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 2.16) (end 12.64 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 2.92) (end 6.64 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 2.16) (end 3.98 2.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 2.92) (end 3.98 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 2.16) (end 1.497071 2.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 2.92) (end 1.497071 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 3.81) (end 6.64 3.81) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 4.7) (end 12.64 4.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 4.7) (end 12.64 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 5.46) (end 6.64 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 4.7) (end 3.98 4.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 5.46) (end 3.98 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 4.7) (end 1.497071 4.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 5.46) (end 1.497071 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 6.35) (end 6.64 6.35) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 7.24) (end 12.64 7.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 7.24) (end 12.64 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 8) (end 6.64 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 7.24) (end 3.98 7.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 8) (end 3.98 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 7.24) (end 1.497071 7.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 8) (end 1.497071 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 8.89) (end 6.64 8.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 9.78) (end 12.64 9.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 9.78) (end 12.64 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 10.54) (end 6.64 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 9.78) (end 3.98 9.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 10.54) (end 3.98 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 9.78) (end 1.497071 9.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 10.54) (end 1.497071 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.98 11.43) (end 6.64 11.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.64 12.32) (end 12.64 12.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 12.32) (end 12.64 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.64 13.08) (end 6.64 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 12.32) (end 3.98 12.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.582929 13.08) (end 3.98 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 12.32) (end 1.497071 12.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 13.08) (end 1.497071 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 0) (end -1.27 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 14.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 14.5) (end 13.1 14.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 13.1 14.5) (end 13.1 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 13.1 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 5.31 6.35 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 +3V3)) + (pad 2 thru_hole oval (at 2.54 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 +3V3)) + (pad 3 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 4 thru_hole oval (at 2.54 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 GND)) + (pad 5 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 17 "Net-(J3-Pad5)")) + (pad 6 thru_hole oval (at 2.54 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 18 "Net-(J3-Pad6)")) + (pad 7 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 10 OE2)) + (pad 8 thru_hole oval (at 2.54 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 7 OE1)) + (pad 9 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 9 OUT2)) + (pad 10 thru_hole oval (at 2.54 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 6 OUT1)) + (pad 11 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 8 IN2)) + (pad 12 thru_hole oval (at 2.54 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 IN1)) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x06_P2.54mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_SO:SOIC-14_3.9x8.7mm_P1.27mm (layer F.Cu) (tedit 5D9F72B1) (tstamp 5E64DD08) + (at 77.405 75.946 180) + (descr "SOIC, 14 Pin (JEDEC MS-012AB, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_14.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (path /5E6295DE) + (attr smd) + (fp_text reference U1 (at 4.761 3.81) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 74LVC126 (at 0 3.302) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0 4.435) (end 1.95 4.435) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 4.435) (end -1.95 4.435) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -4.435) (end 1.95 -4.435) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -4.435) (end -3.45 -4.435) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.975 -4.325) (end 1.95 -4.325) (layer F.Fab) (width 0.1)) + (fp_line (start 1.95 -4.325) (end 1.95 4.325) (layer F.Fab) (width 0.1)) + (fp_line (start 1.95 4.325) (end -1.95 4.325) (layer F.Fab) (width 0.1)) + (fp_line (start -1.95 4.325) (end -1.95 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.95 -3.35) (end -0.975 -4.325) (layer F.Fab) (width 0.1)) + (fp_line (start -3.7 -4.58) (end -3.7 4.58) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.7 4.58) (end 3.7 4.58) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.7 4.58) (end 3.7 -4.58) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.7 -4.58) (end -3.7 -4.58) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.98 0.98) (thickness 0.15))) + ) + (pad 1 smd roundrect (at -2.475 -3.81 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 OE1)) + (pad 2 smd roundrect (at -2.475 -2.54 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 OUT1)) + (pad 3 smd roundrect (at -2.475 -1.27 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 15 "Net-(R3-Pad2)")) + (pad 4 smd roundrect (at -2.475 0 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 OE1)) + (pad 5 smd roundrect (at -2.475 1.27 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 OUT1)) + (pad 6 smd roundrect (at -2.475 2.54 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 16 "Net-(R4-Pad2)")) + (pad 7 smd roundrect (at -2.475 3.81 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 8 smd roundrect (at 2.475 3.81 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 19 "Net-(R5-Pad2)")) + (pad 9 smd roundrect (at 2.475 2.54 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 OUT1)) + (pad 10 smd roundrect (at 2.475 1.27 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 OE1)) + (pad 11 smd roundrect (at 2.475 0 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 IN1)) + (pad 12 smd roundrect (at 2.475 -1.27 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 "Net-(J1-Pad1)")) + (pad 13 smd roundrect (at 2.475 -2.54 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +3V3)) + (pad 14 smd roundrect (at 2.475 -3.81 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +3V3)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-14_3.9x8.7mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer B.Cu) (tedit 5B36C52B) (tstamp 5E66AE91) + (at 73.406 66.548 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5E65DDA0) + (attr smd) + (fp_text reference C3 (at 2.54 -0.254 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 1uF (at 0 -1.65 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.85 -0.95) (end -1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end 1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end 1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end -1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + ) + (pad 1 smd roundrect (at -1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 1 +3V3)) + (pad 2 smd roundrect (at 1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer B.Cu) (tedit 5B36C52B) (tstamp 5E6186D2) + (at 76.962 66.539 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5E65E58F) + (attr smd) + (fp_text reference C4 (at 2.531 -0.254 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 100nF (at 0 -1.65 90) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + ) + (fp_line (start 1.85 -0.95) (end -1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end 1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end 1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end -1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (pad 1 smd roundrect (at -1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 1 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer B.Cu) (tedit 5B36C52B) (tstamp 5E652964) + (at 73.406 76.191 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5E69295B) + (attr smd) + (fp_text reference C1 (at 2.531 0.762) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 1uF (at 0 -1.65 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.85 -0.95) (end -1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end 1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end 1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end -1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + ) + (pad 1 smd roundrect (at -1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 1 +3V3)) + (pad 2 smd roundrect (at 1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer B.Cu) (tedit 5B36C52B) (tstamp 5E6186F4) + (at 76.962 76.2 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5E692961) + (attr smd) + (fp_text reference C2 (at 2.54 -0.254) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 100nF (at 0 -1.65 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08)) (justify mirror)) + ) + (fp_line (start 1.85 -0.95) (end -1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end 1.85 -0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end 1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end -1.85 0.95) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer B.SilkS) (width 0.12)) + (fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (pad 1 smd roundrect (at -1.025 0 90) (size 1.15 1.4) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.217391) + (net 1 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E618815) + (at 84.845 69.088 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E6087B4) + (attr smd) + (fp_text reference R11 (at 0.771 1.27) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 33 (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 20 "Net-(R11-Pad2)")) + (pad 1 smd roundrect (at -1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 14 "Net-(JP2-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E618826) + (at 84.845 66.04 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E60A204) + (attr smd) + (fp_text reference R12 (at 0.771 1.27 180) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 33 (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 14 "Net-(JP2-Pad1)")) + (pad 2 smd roundrect (at 1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 21 "Net-(R12-Pad2)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5EC9C9E1) + (at 84.845 62.992 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E60A55C) + (attr smd) + (fp_text reference R13 (at 0.771 1.27 180) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 33 (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 22 "Net-(R13-Pad2)")) + (pad 1 smd roundrect (at -1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 14 "Net-(JP2-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E64E1BD) + (at 84.845 79.248 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E629618) + (attr smd) + (fp_text reference R3 (at 2.549 -0.254) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 33 (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 15 "Net-(R3-Pad2)")) + (pad 1 smd roundrect (at -1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 11 "Net-(JP1-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E64E15D) + (at 84.845 75.946 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E629620) + (attr smd) + (fp_text reference R4 (at 2.549 0) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 33 (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 11 "Net-(JP1-Pad1)")) + (pad 2 smd roundrect (at 1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 16 "Net-(R4-Pad2)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E64E18D) + (at 84.845 72.644 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E629626) + (attr smd) + (fp_text reference R5 (at 2.549 0) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 33 (at 0 1.65) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 11 "Net-(JP1-Pad1)")) + (pad 2 smd roundrect (at 1.025 0 180) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 19 "Net-(R5-Pad2)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E61888C) + (at 67.564 63.745 90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E615CAC) + (attr smd) + (fp_text reference R9 (at -0.263 -2.032 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10k (at 0 1.65 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 90) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 9 OUT2)) + (pad 2 smd roundrect (at 1.025 0 90) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E61889D) + (at 67.564 68.58 270) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E638303) + (attr smd) + (fp_text reference R10 (at -0.254 2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10k (at 0 1.65 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 10 OE2)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E6188AE) + (at 67.564 73.66 90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E696119) + (attr smd) + (fp_text reference R1 (at 0.254 -1.778 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10k (at 0 1.65 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 90) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 6 OUT1)) + (pad 2 smd roundrect (at 1.025 0 90) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_SO:SOIC-14_3.9x8.7mm_P1.27mm (layer F.Cu) (tedit 5D9F72B1) (tstamp 5E6188DF) + (at 77.405 66.294 180) + (descr "SOIC, 14 Pin (JEDEC MS-012AB, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_14.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (path /5E603A4E) + (attr smd) + (fp_text reference U2 (at 4.761 3.81) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 74LVC126 (at 0 5.28) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.98 0.98) (thickness 0.15))) + ) + (fp_line (start 3.7 -4.58) (end -3.7 -4.58) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.7 4.58) (end 3.7 -4.58) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.7 4.58) (end 3.7 4.58) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.7 -4.58) (end -3.7 4.58) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.95 -3.35) (end -0.975 -4.325) (layer F.Fab) (width 0.1)) + (fp_line (start -1.95 4.325) (end -1.95 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.95 4.325) (end -1.95 4.325) (layer F.Fab) (width 0.1)) + (fp_line (start 1.95 -4.325) (end 1.95 4.325) (layer F.Fab) (width 0.1)) + (fp_line (start -0.975 -4.325) (end 1.95 -4.325) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -4.435) (end -3.45 -4.435) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -4.435) (end 1.95 -4.435) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 4.435) (end -1.95 4.435) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 4.435) (end 1.95 4.435) (layer F.SilkS) (width 0.12)) + (pad 14 smd roundrect (at 2.475 -3.81 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +3V3)) + (pad 13 smd roundrect (at 2.475 -2.54 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +3V3)) + (pad 12 smd roundrect (at 2.475 -1.27 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 4 "Net-(J2-Pad1)")) + (pad 11 smd roundrect (at 2.475 0 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 8 IN2)) + (pad 10 smd roundrect (at 2.475 1.27 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 OE2)) + (pad 9 smd roundrect (at 2.475 2.54 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 OUT2)) + (pad 8 smd roundrect (at 2.475 3.81 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 22 "Net-(R13-Pad2)")) + (pad 7 smd roundrect (at -2.475 3.81 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 6 smd roundrect (at -2.475 2.54 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 21 "Net-(R12-Pad2)")) + (pad 5 smd roundrect (at -2.475 1.27 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 OUT2)) + (pad 4 smd roundrect (at -2.475 0 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 OE2)) + (pad 3 smd roundrect (at -2.475 -1.27 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 20 "Net-(R11-Pad2)")) + (pad 2 smd roundrect (at -2.475 -2.54 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 OUT2)) + (pad 1 smd roundrect (at -2.475 -3.81 180) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 OE2)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-14_3.9x8.7mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_Coaxial:SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount (layer F.Cu) (tedit 5DAA3454) (tstamp 5E61A568) + (at 107.696 65.563 90) + (descr "Connector SMA, 0Hz to 20GHz, 50Ohm, Edge Mount (http://suddendocs.samtec.com/prints/sma-j-p-x-st-em1-mkt.pdf)") + (tags "SMA Straight Samtec Edge Mount") + (path /5E60806F) + (attr smd) + (fp_text reference J2 (at -1.747 -3.556 180) (layer F.SilkS) + (effects (font (size 1.25 1.25) (thickness 0.2))) + ) + (fp_text value Conn_Coaxial (at 0 13 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user "Board Thickness: 1.57mm" (at 0 -5.45 90) (layer Cmts.User) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.84 -1.71) (end 1.95 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.95 -1.71) (end -0.84 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.84 2) (end 1.95 2) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.95 2) (end -0.84 2) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4 2.6) (end 3.68 2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.68 2.6) (end -4 2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.68 2.6) (end 4 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.68 2.6) (end -4 2.6) (layer F.CrtYd) (width 0.05)) + (fp_text user "PCB Edge" (at 0 2.6 90) (layer Dwgs.User) hide + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_line (start 4.1 2.1) (end -4.1 2.1) (layer Dwgs.User) (width 0.1)) + (fp_line (start -3.175 -1.71) (end -3.175 11.62) (layer F.Fab) (width 0.1)) + (fp_line (start -2.365 -1.71) (end -3.175 -1.71) (layer F.Fab) (width 0.1)) + (fp_line (start -2.365 2.1) (end -2.365 -1.71) (layer F.Fab) (width 0.1)) + (fp_line (start 2.365 2.1) (end -2.365 2.1) (layer F.Fab) (width 0.1)) + (fp_line (start 2.365 -1.71) (end 2.365 2.1) (layer F.Fab) (width 0.1)) + (fp_line (start 3.175 -1.71) (end 2.365 -1.71) (layer F.Fab) (width 0.1)) + (fp_line (start 3.175 -1.71) (end 3.175 11.62) (layer F.Fab) (width 0.1)) + (fp_line (start 3.165 11.62) (end -3.165 11.62) (layer F.Fab) (width 0.1)) + (fp_line (start -4 -2.6) (end 4 -2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4 2.6) (end -4 -2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4 2.6) (end 4 -2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4 -2.6) (end 4 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4 2.6) (end -4 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4 2.6) (end 4 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 4.79 270) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.64 2.1) (end 0 3.1) (layer F.Fab) (width 0.1)) + (fp_line (start 0 3.1) (end -0.64 2.1) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -2.26) (end 0.25 -2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.25 -2.76) (end -0.25 -2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.25 -2.76) (end 0 -2.26) (layer F.SilkS) (width 0.12)) + (pad 1 smd rect (at 0 0.2 90) (size 1.27 3.6) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(J2-Pad1)")) + (pad 2 smd rect (at 2.825 0 90) (size 1.35 4.2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 2 smd rect (at -2.825 0 90) (size 1.35 4.2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 2 smd rect (at 2.825 0 90) (size 1.35 4.2) (layers B.Cu B.Paste B.Mask) + (net 2 GND)) + (pad 2 smd rect (at -2.825 0 90) (size 1.35 4.2) (layers B.Cu B.Paste B.Mask) + (net 2 GND)) + (model ${KISYS3DMOD}/Connector_Coaxial.3dshapes/SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_Coaxial:SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount (layer F.Cu) (tedit 5DAA3454) (tstamp 5E61A24B) + (at 107.696 76.677 90) + (descr "Connector SMA, 0Hz to 20GHz, 50Ohm, Edge Mount (http://suddendocs.samtec.com/prints/sma-j-p-x-st-em1-mkt.pdf)") + (tags "SMA Straight Samtec Edge Mount") + (path /5E629612) + (attr smd) + (fp_text reference J1 (at 1.493 -3.556 180) (layer F.SilkS) + (effects (font (size 1.25 1.25) (thickness 0.2))) + ) + (fp_text value Conn_Coaxial (at 0 13 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.25 -2.76) (end 0 -2.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.25 -2.76) (end -0.25 -2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -2.26) (end 0.25 -2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 3.1) (end -0.64 2.1) (layer F.Fab) (width 0.1)) + (fp_line (start 0.64 2.1) (end 0 3.1) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 4.79 270) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 4 2.6) (end 4 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4 2.6) (end -4 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4 -2.6) (end 4 -2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4 2.6) (end 4 -2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.68 12.12) (end -3.68 12.12) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4 2.6) (end -4 -2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4 -2.6) (end 4 -2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.165 11.62) (end -3.165 11.62) (layer F.Fab) (width 0.1)) + (fp_line (start 3.175 -1.71) (end 3.175 11.62) (layer F.Fab) (width 0.1)) + (fp_line (start 3.175 -1.71) (end 2.365 -1.71) (layer F.Fab) (width 0.1)) + (fp_line (start 2.365 -1.71) (end 2.365 2.1) (layer F.Fab) (width 0.1)) + (fp_line (start 2.365 2.1) (end -2.365 2.1) (layer F.Fab) (width 0.1)) + (fp_line (start -2.365 2.1) (end -2.365 -1.71) (layer F.Fab) (width 0.1)) + (fp_line (start -2.365 -1.71) (end -3.175 -1.71) (layer F.Fab) (width 0.1)) + (fp_line (start -3.175 -1.71) (end -3.175 11.62) (layer F.Fab) (width 0.1)) + (fp_line (start 4.1 2.1) (end -4.1 2.1) (layer Dwgs.User) (width 0.1)) + (fp_text user "PCB Edge" (at 0 2.6 90) (layer Dwgs.User) hide + (effects (font (size 0.5 0.5) (thickness 0.1))) + ) + (fp_line (start -3.68 2.6) (end -4 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.68 2.6) (end 4 2.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.68 2.6) (end -4 2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.68 12.12) (end -3.68 2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4 2.6) (end 3.68 2.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.68 2.6) (end 3.68 12.12) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.95 2) (end -0.84 2) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.84 2) (end 1.95 2) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.95 -1.71) (end -0.84 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.84 -1.71) (end 1.95 -1.71) (layer F.SilkS) (width 0.12)) + (fp_text user "Board Thickness: 1.57mm" (at 0 -5.45 90) (layer Cmts.User) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 2 smd rect (at -2.825 0 90) (size 1.35 4.2) (layers B.Cu B.Paste B.Mask) + (net 2 GND)) + (pad 2 smd rect (at 2.825 0 90) (size 1.35 4.2) (layers B.Cu B.Paste B.Mask) + (net 2 GND)) + (pad 2 smd rect (at -2.825 0 90) (size 1.35 4.2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 2 smd rect (at 2.825 0 90) (size 1.35 4.2) (layers F.Cu F.Paste F.Mask) + (net 2 GND)) + (pad 1 smd rect (at 0 0.2 90) (size 1.27 3.6) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(J1-Pad1)")) + (model ${KISYS3DMOD}/Connector_Coaxial.3dshapes/SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E61A288) + (at 99.314 79.248) + (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E629645) + (attr smd) + (fp_text reference R8 (at 1.524 -1.524) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 50 (at 0 1.82) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.8 0.8) (thickness 0.12))) + ) + (pad 1 smd roundrect (at -1.4875 0) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439) + (net 12 "Net-(JP1-Pad3)")) + (pad 2 smd roundrect (at 1.4875 0) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439) + (net 2 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E668440) + (at 98.044 64.516 270) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E73F947) + (attr smd) + (fp_text reference R15 (at -2.286 0 180) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 10k (at 0 1.65 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 4 "Net-(J2-Pad1)")) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder (layer F.Cu) (tedit 5B36C52B) (tstamp 5E6683E0) + (at 98.044 75.701 270) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E7810C1) + (attr smd) + (fp_text reference R7 (at -2.295 0.254 180) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 10k (at 0 1.65 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 0.6) (end -1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.6) (end -1 0.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.08))) + ) + (pad 1 smd roundrect (at -1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 2 GND)) + (pad 2 smd roundrect (at 1.025 0 270) (size 1.15 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.217391) + (net 3 "Net-(J1-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5ED44CF3) + (at 98.044 69.85 270) + (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5E76DD7C) + (attr smd) + (fp_text reference R16 (at -2.794 0 180) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (fp_text value 50 (at 0 1.82 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.8 0.8) (thickness 0.12))) + ) + (pad 1 smd roundrect (at -1.4875 0 270) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439) + (net 13 "Net-(JP2-Pad3)")) + (pad 2 smd roundrect (at 1.4875 0 270) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439) + (net 2 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_text "SMA2 Plug v2" (at 64.516 80.01) (layer F.SilkS) (tstamp 5ED4BCC6) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_arc (start 92.963999 78.232) (end 91.947999 77.470001) (angle -73.73979529) (layer F.SilkS) (width 0.12) (tstamp 5ED44C77)) + (gr_arc (start 92.963999 74.676) (end 91.947999 73.914001) (angle -73.73979529) (layer F.SilkS) (width 0.12) (tstamp 5ED44C77)) + (gr_arc (start 92.963999 64.008) (end 91.947999 63.246001) (angle -73.73979529) (layer F.SilkS) (width 0.12) (tstamp 5ED44BF8)) + (gr_arc (start 92.963999 67.564) (end 91.947999 66.802001) (angle -73.73979529) (layer F.SilkS) (width 0.12)) + (dimension 4.572 (width 0.15) (layer Dwgs.User) + (gr_text "4.572 mm" (at 122.204 63.246 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 111.252 65.532) (xy 121.490421 65.532))) + (feature2 (pts (xy 111.252 60.96) (xy 121.490421 60.96))) + (crossbar (pts (xy 120.904 60.96) (xy 120.904 65.532))) + (arrow1a (pts (xy 120.904 65.532) (xy 120.317579 64.405496))) + (arrow1b (pts (xy 120.904 65.532) (xy 121.490421 64.405496))) + (arrow2a (pts (xy 120.904 60.96) (xy 120.317579 62.086504))) + (arrow2b (pts (xy 120.904 60.96) (xy 121.490421 62.086504))) + ) + (dimension 4.572 (width 0.15) (layer Dwgs.User) + (gr_text "4.572 mm" (at 122.204 78.994 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 111.252 81.28) (xy 121.490421 81.28))) + (feature2 (pts (xy 111.252 76.708) (xy 121.490421 76.708))) + (crossbar (pts (xy 120.904 76.708) (xy 120.904 81.28))) + (arrow1a (pts (xy 120.904 81.28) (xy 120.317579 80.153496))) + (arrow1b (pts (xy 120.904 81.28) (xy 121.490421 80.153496))) + (arrow2a (pts (xy 120.904 76.708) (xy 120.317579 77.834504))) + (arrow2b (pts (xy 120.904 76.708) (xy 121.490421 77.834504))) + ) + (gr_line (start 55.118 82.804) (end 53.594 82.804) (layer Dwgs.User) (width 0.15)) + (gr_line (start 55.88 82.804) (end 57.404 82.804) (layer Dwgs.User) (width 0.15)) + (dimension 20.32 (width 0.15) (layer Dwgs.User) + (gr_text "20.320 mm" (at 132.618 71.12 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 127.508 81.28) (xy 131.904421 81.28))) + (feature2 (pts (xy 127.508 60.96) (xy 131.904421 60.96))) + (crossbar (pts (xy 131.318 60.96) (xy 131.318 81.28))) + (arrow1a (pts (xy 131.318 81.28) (xy 130.731579 80.153496))) + (arrow1b (pts (xy 131.318 81.28) (xy 131.904421 80.153496))) + (arrow2a (pts (xy 131.318 60.96) (xy 130.731579 62.086504))) + (arrow2b (pts (xy 131.318 60.96) (xy 131.904421 62.086504))) + ) + (gr_line (start 122.936 71.12) (end 111.252 71.12) (layer Dwgs.User) (width 0.1)) + (dimension 10.16 (width 0.15) (layer Dwgs.User) + (gr_text "10.160 mm" (at 127.03 76.2 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 123.444 81.28) (xy 126.316421 81.28))) + (feature2 (pts (xy 123.444 71.12) (xy 126.316421 71.12))) + (crossbar (pts (xy 125.73 71.12) (xy 125.73 81.28))) + (arrow1a (pts (xy 125.73 81.28) (xy 125.143579 80.153496))) + (arrow1b (pts (xy 125.73 81.28) (xy 126.316421 80.153496))) + (arrow2a (pts (xy 125.73 71.12) (xy 125.143579 72.246504))) + (arrow2b (pts (xy 125.73 71.12) (xy 126.316421 72.246504))) + ) + (dimension 7.874 (width 0.15) (layer Dwgs.User) + (gr_text "7.874 mm" (at 106.299 84.611999) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 110.236 82.296) (xy 110.236 83.89842))) + (feature2 (pts (xy 102.362 82.296) (xy 102.362 83.89842))) + (crossbar (pts (xy 102.362 83.311999) (xy 110.236 83.311999))) + (arrow1a (pts (xy 110.236 83.311999) (xy 109.109496 83.89842))) + (arrow1b (pts (xy 110.236 83.311999) (xy 109.109496 82.725578))) + (arrow2a (pts (xy 102.362 83.311999) (xy 103.488504 83.89842))) + (arrow2b (pts (xy 102.362 83.311999) (xy 103.488504 82.725578))) + ) + (gr_line (start 54.61 83.058) (end 55.118 82.804) (layer Dwgs.User) (width 0.15) (tstamp 5ECAA8B9)) + (gr_line (start 54.61 82.55) (end 55.118 82.804) (layer Dwgs.User) (width 0.15) (tstamp 5ECAA8B8)) + (gr_line (start 56.388 83.058) (end 55.88 82.804) (layer Dwgs.User) (width 0.15) (tstamp 5ECAA8B7)) + (gr_line (start 56.388 82.55) (end 55.88 82.804) (layer Dwgs.User) (width 0.15) (tstamp 5ECAA8B6)) + (gr_text "0.762 mm" (at 56.896 84.582) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_line (start 55.88 81.534) (end 55.88 83.058) (layer Dwgs.User) (width 0.15)) + (gr_line (start 55.118 79.502) (end 55.118 83.058) (layer Dwgs.User) (width 0.15) (tstamp 5ED46019)) + (gr_text "Licensed under CERN-OHL-S v2" (at 66.548 80.01) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15)) (justify mirror)) + ) + (gr_text 15Ωout (at 89.408 73.66) (layer F.SilkS) (tstamp 5EC9D796) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (gr_text 50Ωin (at 89.916 78.994) (layer F.SilkS) (tstamp 5EC9D791) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (gr_text "SMA2 Plug v2" (at 86.614 71.12) (layer B.SilkS) (tstamp 5ED45E17) + (effects (font (size 1.5 1.5) (thickness 0.2)) (justify mirror)) + ) + (gr_text 15Ωout (at 89.408 62.992) (layer F.SilkS) (tstamp 5EC9CA26) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (gr_text 50Ωin (at 89.916 68.326) (layer F.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15))) + ) + (dimension 54.356 (width 0.15) (layer Dwgs.User) + (gr_text "54.356 mm" (at 83.058 57.628) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 110.236 59.944) (xy 110.236 58.341579))) + (feature2 (pts (xy 55.88 59.944) (xy 55.88 58.341579))) + (crossbar (pts (xy 55.88 58.928) (xy 110.236 58.928))) + (arrow1a (pts (xy 110.236 58.928) (xy 109.109496 59.514421))) + (arrow1b (pts (xy 110.236 58.928) (xy 109.109496 58.341579))) + (arrow2a (pts (xy 55.88 58.928) (xy 57.006504 59.514421))) + (arrow2b (pts (xy 55.88 58.928) (xy 57.006504 58.341579))) + ) + (gr_line (start 110.236 60.96) (end 55.88 60.96) (layer Edge.Cuts) (width 0.05) (tstamp 5E656A7D)) + (gr_line (start 110.236 81.28) (end 110.236 60.96) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 55.88 81.28) (end 110.236 81.28) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 55.88 60.96) (end 55.88 81.28) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 48.768 64.008) (end 49.022 64.008) (layer Cmts.User) (width 0.15) (tstamp 5E61976F)) + + (via (at 76.962 79.756) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) + (via (at 76.962 70.104) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) + (segment (start 76.962 70.104) (end 76.962 67.564) (width 0.5) (layer B.Cu) (net 1)) + (segment (start 73.415 67.564) (end 73.406 67.573) (width 0.5) (layer B.Cu) (net 1)) + (segment (start 76.962 67.564) (end 73.415 67.564) (width 0.5) (layer B.Cu) (net 1)) + (segment (start 76.962 77.225) (end 76.962 79.756) (width 0.5) (layer B.Cu) (net 1)) + (segment (start 73.415 77.225) (end 73.406 77.216) (width 0.5) (layer B.Cu) (net 1)) + (segment (start 76.962 77.225) (end 73.415 77.225) (width 0.5) (layer B.Cu) (net 1)) + (segment (start 72.39 80.518) (end 73.152 79.756) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 74.93 79.756) (end 73.152 79.756) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 70.612 80.518) (end 70.612 72.644) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 70.612 80.518) (end 72.39 80.518) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 73.152 70.104) (end 74.93 70.104) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 70.612 72.644) (end 73.152 70.104) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 74.93 70.104) (end 76.962 70.104) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 74.93 79.756) (end 76.962 79.756) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 74.93 68.834) (end 73.66 68.834) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 73.152 69.342) (end 73.152 70.104) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 73.66 68.834) (end 73.152 69.342) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 74.93 78.486) (end 73.406 78.486) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 73.152 78.74) (end 73.152 79.756) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 73.406 78.486) (end 73.152 78.74) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 59.182 77.47) (end 61.722 77.47) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 59.182 77.47) (end 59.182 78.672081) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 59.182 78.672081) (end 59.182 79.248) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 61.722 78.82) (end 61.722 77.47) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 63.42 80.518) (end 61.722 78.82) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 70.612 80.518) (end 63.42 80.518) (width 0.5) (layer F.Cu) (net 1)) + (via (at 103.632 79.502) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2)) + (via (at 103.632 62.738) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2)) + (via (at 107.696 71.12) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2)) + (via (at 77.724 72.644) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2)) + (via (at 65.532 62.738) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2)) + (via (at 65.532 70.866) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2)) + (via (at 77.724 62.992) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2)) + (segment (start 78.232 62.484) (end 77.724 62.992) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 79.88 62.484) (end 78.232 62.484) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 78.232 72.136) (end 77.724 72.644) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 79.88 72.136) (end 78.232 72.136) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 67.564 62.72) (end 65.55 62.72) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 67.555 72.644) (end 67.564 72.635) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 101.0555 79.502) (end 100.8015 79.248) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 103.632 79.502) (end 101.0555 79.502) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 67.564 69.605) (end 66.049 69.605) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 65.532 70.122) (end 65.532 70.866) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 66.049 69.605) (end 65.532 70.122) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 67.564 72.635) (end 66.049 72.635) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 65.532 72.118) (end 65.532 70.866) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 66.049 72.635) (end 65.532 72.118) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 67.564 62.72) (end 69.07 62.72) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 67.564 69.605) (end 69.079 69.605) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 98.044 71.3375) (end 99.7855 71.3375) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 103.632 79.502) (end 107.696 79.502) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 107.696 73.852) (end 104.456 73.852) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 104.456 73.852) (end 103.632 74.676) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 99.822 71.374) (end 99.7855 71.3375) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 107.696 68.388) (end 104.202 68.388) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 73.415 75.175) (end 73.406 75.166) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 75.193 75.175) (end 73.415 75.175) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 71.628 71.12) (end 71.637 71.111) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 70.358 71.12) (end 71.628 71.12) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 75.184 71.12) (end 75.193 71.129) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 71.628 71.12) (end 75.184 71.12) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 76.962 65.514) (end 76.962 64.008) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 73.406 65.523) (end 73.406 64.008) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 76.962 64.008) (end 73.406 64.008) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 73.406 64.008) (end 71.628 64.008) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 71.628 64.008) (end 71.628 71.12) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 76.962 75.175) (end 76.962 73.66) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 76.962 73.66) (end 75.184 73.66) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 75.193 75.175) (end 75.184 73.66) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 75.184 73.66) (end 75.193 71.129) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 107.696 62.738) (end 103.632 62.738) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 107.696 79.502) (end 103.632 79.502) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 75.184 71.12) (end 96.774 71.12) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 99.506 73.852) (end 107.696 73.852) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 96.774 71.12) (end 99.506 73.852) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 99.506 68.388) (end 96.774 71.12) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 107.696 68.388) (end 99.506 68.388) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 103.632 74.676) (end 99.822 74.676) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 99.822 74.676) (end 98.044 74.676) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 65.514 77.216) (end 65.514 77.198) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 65.514 77.198) (end 63.246 74.93) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 66.548 74.93) (end 70.358 71.12) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 102.879 63.491) (end 103.632 62.738) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 98.044 63.491) (end 102.879 63.491) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 107.696 62.738) (end 103.632 62.738) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 61.722 74.93) (end 63.246 74.93) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 59.182 74.93) (end 57.658 74.93) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 66.548 74.93) (end 61.722 74.93) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 61.722 74.93) (end 59.182 74.93) (width 0.5) (layer B.Cu) (net 2)) + (segment (start 99.822 71.374) (end 99.822 74.676) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 77.837001 79.615001) (end 77.837001 78.867599) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 78.74 80.518) (end 77.837001 79.615001) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 77.837001 78.867599) (end 76.185402 77.216) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 76.185402 77.216) (end 74.93 77.216) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 97.772 76.454) (end 98.044 76.726) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 93.726 76.454) (end 97.772 76.454) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 98.093 76.677) (end 98.044 76.726) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 107.896 76.677) (end 98.093 76.677) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 90.442 76.454) (end 89.408 77.488) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 93.726 76.454) (end 90.442 76.454) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 78.74 80.518) (end 87.376 80.518) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 89.408 78.486) (end 89.408 77.488) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 87.376 80.518) (end 89.408 78.486) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 78.837532 70.77901) (end 77.731992 69.67347) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 77.731992 68.841992) (end 76.454 67.564) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 77.731992 69.67347) (end 77.731992 68.841992) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 76.454 67.564) (end 74.93 67.564) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 93.726 65.786) (end 97.799 65.786) (width 0.5) (layer F.Cu) (net 4)) + (segment (start 97.799 65.786) (end 98.044 65.541) (width 0.5) (layer F.Cu) (net 4)) + (segment (start 98.066 65.563) (end 98.044 65.541) (width 0.5) (layer F.Cu) (net 4)) + (segment (start 107.896 65.563) (end 98.066 65.563) (width 0.5) (layer F.Cu) (net 4)) + (segment (start 90.179 65.786) (end 89.408 66.557) (width 0.5) (layer F.Cu) (net 4)) + (segment (start 93.726 65.786) (end 90.179 65.786) (width 0.5) (layer F.Cu) (net 4)) + (segment (start 78.837532 70.77901) (end 86.70099 70.77901) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 89.408 68.072) (end 89.408 66.557) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 86.70099 70.77901) (end 89.408 68.072) (width 0.25) (layer F.Cu) (net 4)) + (via (at 71.628 78.486) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 5)) + (segment (start 74.93 75.946) (end 74.168 75.946) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 74.168 75.946) (end 71.628 78.486) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 59.944 80.264) (end 57.15 77.47) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 71.628 78.486) (end 69.85 80.264) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 69.85 80.264) (end 59.944 80.264) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 57.15 66.802) (end 59.182 64.77) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 57.15 77.47) (end 57.15 66.802) (width 0.25) (layer B.Cu) (net 5)) + (via (at 69.596 74.676) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 6) (tstamp 5E6559D3)) + (via (at 72.644 73.406) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 6)) + (via (at 82.042 74.676) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 6)) + (via (at 82.042 78.486) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 6)) + (segment (start 74.93 73.406) (end 76.962 73.406) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 78.232 74.676) (end 79.88 74.676) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 76.962 73.406) (end 78.232 74.676) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 79.88 74.676) (end 82.042 74.676) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 79.88 78.486) (end 82.042 78.486) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 82.042 74.676) (end 82.042 78.486) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 74.93 73.406) (end 72.644 73.406) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 69.587 74.685) (end 69.596 74.676) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 67.564 74.685) (end 69.587 74.685) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 71.374 74.676) (end 72.644 73.406) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 69.596 74.676) (end 71.374 74.676) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 67.564 74.685) (end 67.564 74.676) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 67.564 74.685) (end 66.592002 74.685) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 66.592002 74.685) (end 62.992 71.12) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 62.992 71.12) (end 63.027002 71.12) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 59.182 67.31) (end 60.452 68.58) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 60.452 68.58) (end 60.452 70.358) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 61.214 71.12) (end 62.992 71.12) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 60.452 70.358) (end 61.214 71.12) (width 0.25) (layer F.Cu) (net 6)) + (via (at 71.628 75.692) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 7)) + (via (at 69.596 77.216) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 7)) + (segment (start 78.232 75.946) (end 79.88 75.946) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 78.232 78.555478) (end 78.232 75.946) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 79.58 79.456) (end 79.132522 79.456) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 79.132522 79.456) (end 78.232 78.555478) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 79.88 79.756) (end 79.58 79.456) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 76.962 74.676) (end 78.232 75.946) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 74.93 74.676) (end 76.962 74.676) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 69.587 77.207) (end 69.596 77.216) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 72.644 74.676) (end 71.628 75.692) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 74.93 74.676) (end 72.644 74.676) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 71.12 75.692) (end 71.628 75.692) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 69.596 77.216) (end 71.12 75.692) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 67.564 77.207) (end 67.555 77.207) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 69.596 77.216) (end 67.564 77.216) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 64.008 73.66) (end 67.564 77.216) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 59.182 69.85) (end 60.452 71.12) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 60.452 71.12) (end 60.452 73.152) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 60.96 73.66) (end 64.008 73.66) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 60.452 73.152) (end 60.96 73.66) (width 0.25) (layer F.Cu) (net 7)) + (via (at 69.85 66.802) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 8)) + (segment (start 70.358 66.294) (end 69.85 66.802) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 74.93 66.294) (end 70.358 66.294) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 69.85 66.802) (end 67.818 64.77) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 67.818 64.77) (end 61.722 64.77) (width 0.25) (layer B.Cu) (net 8)) + (via (at 82.042 65.024) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 9)) + (via (at 82.042 68.834) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 9)) + (segment (start 74.93 63.754) (end 76.962 63.754) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 78.232 65.024) (end 79.88 65.024) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 76.962 63.754) (end 78.232 65.024) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 79.88 68.834) (end 82.042 68.834) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 79.88 65.024) (end 82.042 65.024) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 82.042 65.024) (end 82.042 68.834) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 74.93 63.754) (end 70.104 63.754) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 69.088 64.77) (end 67.564 64.77) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 70.104 63.754) (end 69.088 64.77) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 65.024 67.31) (end 67.564 64.77) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 65.024 67.31) (end 61.722 67.31) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 74.93 65.024) (end 76.962 65.024) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 78.232 66.294) (end 79.88 66.294) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 76.962 65.024) (end 78.232 66.294) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 78.232 69.431) (end 78.232 66.294) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 78.905 70.104) (end 78.232 69.431) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 79.88 70.104) (end 78.905 70.104) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 67.564 67.555) (end 67.573 67.555) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 70.104 65.024) (end 74.93 65.024) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 67.573 67.555) (end 70.104 65.024) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 66.303 67.555) (end 67.564 67.555) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 66.303 67.555) (end 65.278 68.58) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 62.992 68.58) (end 61.722 69.85) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 65.278 68.58) (end 62.992 68.58) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 85.87 79.248) (end 85.87 75.946) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 85.87 75.946) (end 85.852 73.914) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 85.852 73.914) (end 85.87 72.644) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 89.408 75.438) (end 89.408 73.914) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 93.726 73.914) (end 89.408 73.914) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 89.408 73.914) (end 85.852 73.914) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 97.5725 78.994) (end 97.8265 79.248) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 93.726 78.994) (end 97.5725 78.994) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 98.0075 68.326) (end 98.044 68.3625) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 93.726 68.326) (end 98.0075 68.326) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 85.87 69.088) (end 85.87 66.04) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 85.87 66.04) (end 85.87 62.992) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 93.472 62.992) (end 93.726 63.246) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 89.408 64.507) (end 89.408 62.992) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 85.87 62.992) (end 89.408 62.992) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 89.408 62.992) (end 93.472 62.992) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 83.82 78.548) (end 83.82 79.248) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 82.488 77.216) (end 83.82 78.548) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 79.88 77.216) (end 82.488 77.216) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 83.82 75.246) (end 83.82 75.946) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 82.067002 73.406) (end 83.82 75.158998) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 83.82 75.158998) (end 83.82 75.246) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 79.88 73.406) (end 82.067002 73.406) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 75.692 71.374) (end 74.93 72.136) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 83.82 72.644) (end 82.55 71.374) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 82.55 71.374) (end 75.692 71.374) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 79.88 67.564) (end 82.296 67.564) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 82.296 67.564) (end 83.82 69.088) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 83.82 65.34) (end 83.82 66.04) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 82.234 63.754) (end 83.82 65.34) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 79.88 63.754) (end 82.234 63.754) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 75.692 61.722) (end 74.93 62.484) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 75.692 61.722) (end 82.55 61.722) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 83.82 62.992) (end 83.312 62.484) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 83.312 62.484) (end 82.55 61.722) (width 0.25) (layer F.Cu) (net 22)) + + (zone (net 1) (net_name +3V3) (layer F.Cu) (tstamp 5EC9E4F8) (hatch edge 0.508) + (connect_pads no (clearance 0.508)) + (min_thickness 0.25) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.255)) + (polygon + (pts + (xy 74.168 80.264) (xy 73.66 80.772) (xy 57.404 80.772) (xy 56.896 80.264) (xy 56.896 76.454) + (xy 57.404 75.946) (xy 68.58 75.946) (xy 68.58 71.374) (xy 72.39 67.564) (xy 74.168 67.564) + ) + ) + (filled_polygon + (pts + (xy 73.318938 67.714) (xy 73.334042 67.867353) (xy 73.378773 68.014813) (xy 73.451413 68.150713) (xy 73.491041 68.199) + (xy 73.451413 68.247287) (xy 73.378773 68.383187) (xy 73.334042 68.530647) (xy 73.318938 68.684) (xy 73.318938 68.984) + (xy 73.334042 69.137353) (xy 73.378773 69.284813) (xy 73.451413 69.420713) (xy 73.491041 69.469) (xy 73.451413 69.517287) + (xy 73.378773 69.653187) (xy 73.334042 69.800647) (xy 73.318938 69.954) (xy 73.318938 70.254) (xy 73.334042 70.407353) + (xy 73.378773 70.554813) (xy 73.451413 70.690713) (xy 73.54917 70.80983) (xy 73.668287 70.907587) (xy 73.804187 70.980227) + (xy 73.951647 71.024958) (xy 74.043 71.033956) (xy 74.043 71.206044) (xy 73.951647 71.215042) (xy 73.804187 71.259773) + (xy 73.668287 71.332413) (xy 73.54917 71.43017) (xy 73.451413 71.549287) (xy 73.378773 71.685187) (xy 73.334042 71.832647) + (xy 73.318938 71.986) (xy 73.318938 72.286) (xy 73.334042 72.439353) (xy 73.358799 72.520967) (xy 73.180677 72.401949) + (xy 72.974484 72.316541) (xy 72.755591 72.273) (xy 72.532409 72.273) (xy 72.313516 72.316541) (xy 72.107323 72.401949) + (xy 71.921755 72.525942) (xy 71.763942 72.683755) (xy 71.639949 72.869323) (xy 71.554541 73.075516) (xy 71.511 73.294409) + (xy 71.511 73.517591) (xy 71.554541 73.736484) (xy 71.639949 73.942677) (xy 71.763942 74.128245) (xy 71.921755 74.286058) + (xy 71.945862 74.302166) (xy 71.689027 74.559) (xy 71.516409 74.559) (xy 71.297516 74.602541) (xy 71.091323 74.687949) + (xy 70.905755 74.811942) (xy 70.747942 74.969755) (xy 70.623949 75.155323) (xy 70.538541 75.361516) (xy 70.495 75.580409) + (xy 70.495 75.803591) (xy 70.538541 76.022484) (xy 70.623949 76.228677) (xy 70.747942 76.414245) (xy 70.905755 76.572058) + (xy 71.091323 76.696051) (xy 71.297516 76.781459) (xy 71.516409 76.825) (xy 71.739591 76.825) (xy 71.958484 76.781459) + (xy 72.164677 76.696051) (xy 72.350245 76.572058) (xy 72.508058 76.414245) (xy 72.632051 76.228677) (xy 72.717459 76.022484) + (xy 72.761 75.803591) (xy 72.761 75.630973) (xy 72.957973 75.434) (xy 73.411478 75.434) (xy 73.378773 75.495187) + (xy 73.334042 75.642647) (xy 73.326904 75.715122) (xy 71.689028 77.353) (xy 71.516409 77.353) (xy 71.297516 77.396541) + (xy 71.091323 77.481949) (xy 70.905755 77.605942) (xy 70.747942 77.763755) (xy 70.623949 77.949323) (xy 70.538541 78.155516) + (xy 70.495 78.374409) (xy 70.495 78.597591) (xy 70.538541 78.816484) (xy 70.623949 79.022677) (xy 70.747942 79.208245) + (xy 70.905755 79.366058) (xy 71.091323 79.490051) (xy 71.297516 79.575459) (xy 71.516409 79.619) (xy 71.739591 79.619) + (xy 71.958484 79.575459) (xy 72.164677 79.490051) (xy 72.350245 79.366058) (xy 72.508058 79.208245) (xy 72.632051 79.022677) + (xy 72.717459 78.816484) (xy 72.761 78.597591) (xy 72.761 78.424972) (xy 73.427674 77.758299) (xy 73.451413 77.802713) + (xy 73.491041 77.851) (xy 73.451413 77.899287) (xy 73.378773 78.035187) (xy 73.334042 78.182647) (xy 73.318938 78.336) + (xy 73.318938 78.636) (xy 73.334042 78.789353) (xy 73.378773 78.936813) (xy 73.451413 79.072713) (xy 73.491041 79.121) + (xy 73.451413 79.169287) (xy 73.378773 79.305187) (xy 73.334042 79.452647) (xy 73.318938 79.606) (xy 73.318938 79.906) + (xy 73.334042 80.059353) (xy 73.378773 80.206813) (xy 73.451413 80.342713) (xy 73.54917 80.46183) (xy 73.668287 80.559587) + (xy 73.68611 80.569114) (xy 73.633224 80.622) (xy 57.430776 80.622) (xy 57.021 80.212224) (xy 57.021 76.505776) + (xy 57.455776 76.071) (xy 58.22572 76.071) (xy 58.236642 76.081922) (xy 58.413359 76.2) (xy 58.236642 76.318078) + (xy 58.030078 76.524642) (xy 57.867782 76.767536) (xy 57.75599 77.037425) (xy 57.699 77.323937) (xy 57.699 77.616063) + (xy 57.75599 77.902575) (xy 57.867782 78.172464) (xy 58.030078 78.415358) (xy 58.236642 78.621922) (xy 58.479536 78.784218) + (xy 58.749425 78.89601) (xy 59.035937 78.953) (xy 59.328063 78.953) (xy 59.614575 78.89601) (xy 59.884464 78.784218) + (xy 60.127358 78.621922) (xy 60.261433 78.487847) (xy 60.284355 78.56341) (xy 60.343134 78.673377) (xy 60.422236 78.769764) + (xy 60.518623 78.848866) (xy 60.62859 78.907645) (xy 60.74791 78.94384) (xy 60.872 78.956062) (xy 62.572 78.956062) + (xy 62.69609 78.94384) (xy 62.81541 78.907645) (xy 62.925377 78.848866) (xy 63.021764 78.769764) (xy 63.100866 78.673377) + (xy 63.159645 78.56341) (xy 63.19584 78.44409) (xy 63.208062 78.32) (xy 63.208062 76.62) (xy 63.19584 76.49591) + (xy 63.159645 76.37659) (xy 63.100866 76.266623) (xy 63.021764 76.170236) (xy 62.925377 76.091134) (xy 62.887709 76.071) + (xy 63.315028 76.071) (xy 64.302938 77.058911) (xy 64.302938 77.666001) (xy 64.319963 77.838863) (xy 64.370385 78.005082) + (xy 64.452266 78.15827) (xy 64.562459 78.292541) (xy 64.69673 78.402734) (xy 64.849918 78.484615) (xy 65.016137 78.535037) + (xy 65.188999 78.552062) (xy 65.839001 78.552062) (xy 66.011863 78.535037) (xy 66.178082 78.484615) (xy 66.33127 78.402734) + (xy 66.465541 78.292541) (xy 66.539 78.203031) (xy 66.612459 78.292541) (xy 66.74673 78.402734) (xy 66.899918 78.484615) + (xy 67.066137 78.535037) (xy 67.238999 78.552062) (xy 67.889001 78.552062) (xy 68.061863 78.535037) (xy 68.228082 78.484615) + (xy 68.38127 78.402734) (xy 68.515541 78.292541) (xy 68.625734 78.15827) (xy 68.707615 78.005082) (xy 68.717044 77.974) + (xy 68.751697 77.974) (xy 68.873755 78.096058) (xy 69.059323 78.220051) (xy 69.265516 78.305459) (xy 69.484409 78.349) + (xy 69.707591 78.349) (xy 69.926484 78.305459) (xy 70.132677 78.220051) (xy 70.318245 78.096058) (xy 70.476058 77.938245) + (xy 70.600051 77.752677) (xy 70.685459 77.546484) (xy 70.729 77.327591) (xy 70.729 77.104409) (xy 70.685459 76.885516) + (xy 70.600051 76.679323) (xy 70.476058 76.493755) (xy 70.318245 76.335942) (xy 70.132677 76.211949) (xy 69.926484 76.126541) + (xy 69.707591 76.083) (xy 69.484409 76.083) (xy 69.265516 76.126541) (xy 69.059323 76.211949) (xy 68.873755 76.335942) + (xy 68.751697 76.458) (xy 68.717044 76.458) (xy 68.707615 76.426918) (xy 68.625734 76.27373) (xy 68.515541 76.139459) + (xy 68.432123 76.071) (xy 68.58 76.071) (xy 68.604386 76.068598) (xy 68.627835 76.061485) (xy 68.649446 76.049934) + (xy 68.668388 76.034388) (xy 68.683934 76.015446) (xy 68.695485 75.993835) (xy 68.702598 75.970386) (xy 68.705 75.946) + (xy 68.705 75.557997) (xy 68.750734 75.50227) (xy 68.77485 75.457153) (xy 68.873755 75.556058) (xy 69.059323 75.680051) + (xy 69.265516 75.765459) (xy 69.484409 75.809) (xy 69.707591 75.809) (xy 69.926484 75.765459) (xy 70.132677 75.680051) + (xy 70.318245 75.556058) (xy 70.476058 75.398245) (xy 70.600051 75.212677) (xy 70.685459 75.006484) (xy 70.729 74.787591) + (xy 70.729 74.564409) (xy 70.685459 74.345516) (xy 70.600051 74.139323) (xy 70.476058 73.953755) (xy 70.318245 73.795942) + (xy 70.132677 73.671949) (xy 69.926484 73.586541) (xy 69.707591 73.543) (xy 69.484409 73.543) (xy 69.265516 73.586541) + (xy 69.059323 73.671949) (xy 68.873755 73.795942) (xy 68.76858 73.901117) (xy 68.750734 73.86773) (xy 68.705 73.812003) + (xy 68.705 73.507997) (xy 68.750734 73.45227) (xy 68.832615 73.299082) (xy 68.883037 73.132863) (xy 68.900062 72.960001) + (xy 68.900062 72.309999) (xy 68.883037 72.137137) (xy 68.832615 71.970918) (xy 68.750734 71.81773) (xy 68.705 71.762003) + (xy 68.705 71.425776) (xy 72.441776 67.689) (xy 73.318938 67.689) + ) + ) + ) + (zone (net 2) (net_name GND) (layer F.Cu) (tstamp 5EC9E4F5) (hatch edge 0.508) + (connect_pads no (clearance 0.508)) + (min_thickness 0.25) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.254)) + (polygon + (pts + (xy 109.728 61.976) (xy 109.728 80.264) (xy 109.22 80.772) (xy 76.708 80.772) (xy 76.2 80.264) + (xy 76.2 67.056) (xy 72.136 67.056) (xy 68.072 71.12) (xy 68.072 75.438) (xy 57.404 75.438) + (xy 56.896 74.93) (xy 56.896 61.976) (xy 57.404 61.468) (xy 109.22 61.468) + ) + ) + (filled_polygon + (pts + (xy 105.567134 77.665377) (xy 105.646236 77.761764) (xy 105.742623 77.840866) (xy 105.85259 77.899645) (xy 105.97191 77.93584) + (xy 106.096 77.948062) (xy 109.578 77.948062) (xy 109.578 78.190938) (xy 105.596 78.190938) (xy 105.47191 78.20316) + (xy 105.35259 78.239355) (xy 105.242623 78.298134) (xy 105.146236 78.377236) (xy 105.067134 78.473623) (xy 105.008355 78.58359) + (xy 104.97216 78.70291) (xy 104.959938 78.827) (xy 104.959938 80.177) (xy 104.97216 80.30109) (xy 105.008355 80.42041) + (xy 105.067134 80.530377) (xy 105.142326 80.622) (xy 101.733322 80.622) (xy 101.75627 80.609734) (xy 101.89054 80.49954) + (xy 102.000734 80.36527) (xy 102.082615 80.212081) (xy 102.133037 80.045862) (xy 102.150062 79.873) (xy 102.150062 78.623) + (xy 102.133037 78.450138) (xy 102.082615 78.283919) (xy 102.000734 78.13073) (xy 101.89054 77.99646) (xy 101.75627 77.886266) + (xy 101.603081 77.804385) (xy 101.436862 77.753963) (xy 101.264 77.736938) (xy 100.339 77.736938) (xy 100.166138 77.753963) + (xy 99.999919 77.804385) (xy 99.84673 77.886266) (xy 99.71246 77.99646) (xy 99.602266 78.13073) (xy 99.520385 78.283919) + (xy 99.469963 78.450138) (xy 99.452938 78.623) (xy 99.452938 79.873) (xy 99.469963 80.045862) (xy 99.520385 80.212081) + (xy 99.602266 80.36527) (xy 99.71246 80.49954) (xy 99.84673 80.609734) (xy 99.869678 80.622) (xy 98.758322 80.622) + (xy 98.78127 80.609734) (xy 98.91554 80.49954) (xy 99.025734 80.36527) (xy 99.107615 80.212081) (xy 99.158037 80.045862) + (xy 99.175062 79.873) (xy 99.175062 78.623) (xy 99.158037 78.450138) (xy 99.107615 78.283919) (xy 99.025734 78.13073) + (xy 98.91554 77.99646) (xy 98.78127 77.886266) (xy 98.780155 77.88567) (xy 98.833082 77.869615) (xy 98.98627 77.787734) + (xy 99.120541 77.677541) (xy 99.217004 77.56) (xy 105.510808 77.56) + ) + ) + (filled_polygon + (pts + (xy 105.567134 66.551377) (xy 105.646236 66.647764) (xy 105.742623 66.726866) (xy 105.85259 66.785645) (xy 105.97191 66.82184) + (xy 106.096 66.834062) (xy 109.578001 66.834062) (xy 109.578001 67.076938) (xy 105.596 67.076938) (xy 105.47191 67.08916) + (xy 105.35259 67.125355) (xy 105.242623 67.184134) (xy 105.146236 67.263236) (xy 105.067134 67.359623) (xy 105.008355 67.46959) + (xy 104.97216 67.58891) (xy 104.959938 67.713) (xy 104.959938 69.063) (xy 104.97216 69.18709) (xy 105.008355 69.30641) + (xy 105.067134 69.416377) (xy 105.146236 69.512764) (xy 105.242623 69.591866) (xy 105.35259 69.650645) (xy 105.47191 69.68684) + (xy 105.596 69.699062) (xy 109.578001 69.699062) (xy 109.578 72.540938) (xy 105.596 72.540938) (xy 105.47191 72.55316) + (xy 105.35259 72.589355) (xy 105.242623 72.648134) (xy 105.146236 72.727236) (xy 105.067134 72.823623) (xy 105.008355 72.93359) + (xy 104.97216 73.05291) (xy 104.959938 73.177) (xy 104.959938 74.527) (xy 104.97216 74.65109) (xy 105.008355 74.77041) + (xy 105.067134 74.880377) (xy 105.146236 74.976764) (xy 105.242623 75.055866) (xy 105.35259 75.114645) (xy 105.47191 75.15084) + (xy 105.596 75.163062) (xy 109.578 75.163062) (xy 109.578 75.405938) (xy 106.096 75.405938) (xy 105.97191 75.41816) + (xy 105.85259 75.454355) (xy 105.742623 75.513134) (xy 105.646236 75.592236) (xy 105.567134 75.688623) (xy 105.510808 75.794) + (xy 99.136578 75.794) (xy 99.120541 75.774459) (xy 99.031031 75.701) (xy 99.120541 75.627541) (xy 99.230734 75.49327) + (xy 99.312615 75.340082) (xy 99.363037 75.173863) (xy 99.380062 75.001001) (xy 99.380062 74.350999) (xy 99.363037 74.178137) + (xy 99.312615 74.011918) (xy 99.230734 73.85873) (xy 99.120541 73.724459) (xy 98.98627 73.614266) (xy 98.833082 73.532385) + (xy 98.666863 73.481963) (xy 98.494001 73.464938) (xy 97.593999 73.464938) (xy 97.421137 73.481963) (xy 97.254918 73.532385) + (xy 97.10173 73.614266) (xy 96.967459 73.724459) (xy 96.857266 73.85873) (xy 96.775385 74.011918) (xy 96.724963 74.178137) + (xy 96.707938 74.350999) (xy 96.707938 75.001001) (xy 96.724963 75.173863) (xy 96.775385 75.340082) (xy 96.857266 75.49327) + (xy 96.921057 75.571) (xy 94.919588 75.571) (xy 94.877922 75.508642) (xy 94.743847 75.374567) (xy 94.81941 75.351645) + (xy 94.929377 75.292866) (xy 95.025764 75.213764) (xy 95.104866 75.117377) (xy 95.163645 75.00741) (xy 95.19984 74.88809) + (xy 95.212062 74.764) (xy 95.212062 73.064) (xy 95.19984 72.93991) (xy 95.163645 72.82059) (xy 95.104866 72.710623) + (xy 95.025764 72.614236) (xy 94.929377 72.535134) (xy 94.81941 72.476355) (xy 94.70009 72.44016) (xy 94.576 72.427938) + (xy 92.876 72.427938) (xy 92.75191 72.44016) (xy 92.63259 72.476355) (xy 92.522623 72.535134) (xy 92.426236 72.614236) + (xy 92.347134 72.710623) (xy 92.288355 72.82059) (xy 92.25216 72.93991) (xy 92.243188 73.031) (xy 89.451373 73.031) + (xy 89.408 73.026728) (xy 89.364627 73.031) (xy 87.081062 73.031) (xy 87.081062 72.193999) (xy 87.064037 72.021137) + (xy 87.013615 71.854918) (xy 86.931734 71.70173) (xy 86.821541 71.567459) (xy 86.779486 71.532946) (xy 86.849584 71.526042) + (xy 86.992467 71.482699) (xy 87.12415 71.412313) (xy 87.23957 71.31759) (xy 87.263314 71.288659) (xy 87.676973 70.875) + (xy 96.532938 70.875) (xy 96.532938 71.8) (xy 96.549963 71.972862) (xy 96.600385 72.139081) (xy 96.682266 72.29227) + (xy 96.79246 72.42654) (xy 96.92673 72.536734) (xy 97.079919 72.618615) (xy 97.246138 72.669037) (xy 97.419 72.686062) + (xy 98.669 72.686062) (xy 98.841862 72.669037) (xy 99.008081 72.618615) (xy 99.16127 72.536734) (xy 99.29554 72.42654) + (xy 99.405734 72.29227) (xy 99.487615 72.139081) (xy 99.538037 71.972862) (xy 99.555062 71.8) (xy 99.555062 70.949314) + (xy 100.629 70.949314) (xy 100.629 71.290686) (xy 100.695598 71.625497) (xy 100.826236 71.940883) (xy 101.015891 72.224723) + (xy 101.257277 72.466109) (xy 101.541117 72.655764) (xy 101.856503 72.786402) (xy 102.191314 72.853) (xy 102.532686 72.853) + (xy 102.867497 72.786402) (xy 103.182883 72.655764) (xy 103.466723 72.466109) (xy 103.708109 72.224723) (xy 103.897764 71.940883) + (xy 104.028402 71.625497) (xy 104.095 71.290686) (xy 104.095 70.949314) (xy 104.028402 70.614503) (xy 103.897764 70.299117) + (xy 103.708109 70.015277) (xy 103.466723 69.773891) (xy 103.182883 69.584236) (xy 102.867497 69.453598) (xy 102.532686 69.387) + (xy 102.191314 69.387) (xy 101.856503 69.453598) (xy 101.541117 69.584236) (xy 101.257277 69.773891) (xy 101.015891 70.015277) + (xy 100.826236 70.299117) (xy 100.695598 70.614503) (xy 100.629 70.949314) (xy 99.555062 70.949314) (xy 99.555062 70.875) + (xy 99.538037 70.702138) (xy 99.487615 70.535919) (xy 99.405734 70.38273) (xy 99.29554 70.24846) (xy 99.16127 70.138266) + (xy 99.008081 70.056385) (xy 98.841862 70.005963) (xy 98.669 69.988938) (xy 97.419 69.988938) (xy 97.246138 70.005963) + (xy 97.079919 70.056385) (xy 96.92673 70.138266) (xy 96.79246 70.24846) (xy 96.682266 70.38273) (xy 96.600385 70.535919) + (xy 96.549963 70.702138) (xy 96.532938 70.875) (xy 87.676973 70.875) (xy 89.91766 68.634314) (xy 89.94658 68.61058) + (xy 90.041303 68.49516) (xy 90.111689 68.363477) (xy 90.155032 68.220594) (xy 90.166 68.109232) (xy 90.166 68.109223) + (xy 90.169666 68.072001) (xy 90.166 68.034779) (xy 90.166 67.710044) (xy 90.197082 67.700615) (xy 90.35027 67.618734) + (xy 90.484541 67.508541) (xy 90.594734 67.37427) (xy 90.676615 67.221082) (xy 90.727037 67.054863) (xy 90.744062 66.882001) + (xy 90.744062 66.669) (xy 92.532412 66.669) (xy 92.574078 66.731358) (xy 92.780642 66.937922) (xy 92.957359 67.056) + (xy 92.780642 67.174078) (xy 92.574078 67.380642) (xy 92.411782 67.623536) (xy 92.29999 67.893425) (xy 92.243 68.179937) + (xy 92.243 68.472063) (xy 92.29999 68.758575) (xy 92.411782 69.028464) (xy 92.574078 69.271358) (xy 92.780642 69.477922) + (xy 93.023536 69.640218) (xy 93.293425 69.75201) (xy 93.579937 69.809) (xy 93.872063 69.809) (xy 94.158575 69.75201) + (xy 94.428464 69.640218) (xy 94.671358 69.477922) (xy 94.877922 69.271358) (xy 94.919588 69.209) (xy 96.624395 69.209) + (xy 96.682266 69.31727) (xy 96.79246 69.45154) (xy 96.92673 69.561734) (xy 97.079919 69.643615) (xy 97.246138 69.694037) + (xy 97.419 69.711062) (xy 98.669 69.711062) (xy 98.841862 69.694037) (xy 99.008081 69.643615) (xy 99.16127 69.561734) + (xy 99.29554 69.45154) (xy 99.405734 69.31727) (xy 99.487615 69.164081) (xy 99.538037 68.997862) (xy 99.555062 68.825) + (xy 99.555062 67.9) (xy 99.538037 67.727138) (xy 99.487615 67.560919) (xy 99.405734 67.40773) (xy 99.29554 67.27346) + (xy 99.16127 67.163266) (xy 99.008081 67.081385) (xy 98.841862 67.030963) (xy 98.669 67.013938) (xy 97.419 67.013938) + (xy 97.246138 67.030963) (xy 97.079919 67.081385) (xy 96.92673 67.163266) (xy 96.79246 67.27346) (xy 96.682266 67.40773) + (xy 96.663414 67.443) (xy 94.919588 67.443) (xy 94.877922 67.380642) (xy 94.671358 67.174078) (xy 94.494641 67.056) + (xy 94.671358 66.937922) (xy 94.877922 66.731358) (xy 94.919588 66.669) (xy 97.225704 66.669) (xy 97.254918 66.684615) + (xy 97.421137 66.735037) (xy 97.593999 66.752062) (xy 98.494001 66.752062) (xy 98.666863 66.735037) (xy 98.833082 66.684615) + (xy 98.98627 66.602734) (xy 99.120541 66.492541) (xy 99.158736 66.446) (xy 105.510808 66.446) + ) + ) + (filled_polygon + (pts + (xy 64.589027 75.313) (xy 63.157871 75.313) (xy 63.205 75.076063) (xy 63.205 74.783937) (xy 63.14801 74.497425) + (xy 63.115111 74.418) (xy 63.694028 74.418) + ) + ) + (filled_polygon + (pts + (xy 66.62173 61.658266) (xy 66.487459 61.768459) (xy 66.377266 61.90273) (xy 66.295385 62.055918) (xy 66.244963 62.222137) + (xy 66.227938 62.394999) (xy 66.227938 63.045001) (xy 66.244963 63.217863) (xy 66.295385 63.384082) (xy 66.377266 63.53727) + (xy 66.487459 63.671541) (xy 66.576969 63.745) (xy 66.487459 63.818459) (xy 66.377266 63.95273) (xy 66.295385 64.105918) + (xy 66.244963 64.272137) (xy 66.227938 64.444999) (xy 66.227938 65.034089) (xy 64.710028 66.552) (xy 62.99911 66.552) + (xy 62.873922 66.364642) (xy 62.667358 66.158078) (xy 62.490641 66.04) (xy 62.667358 65.921922) (xy 62.873922 65.715358) + (xy 63.036218 65.472464) (xy 63.14801 65.202575) (xy 63.205 64.916063) (xy 63.205 64.623937) (xy 63.14801 64.337425) + (xy 63.036218 64.067536) (xy 62.873922 63.824642) (xy 62.667358 63.618078) (xy 62.424464 63.455782) (xy 62.154575 63.34399) + (xy 61.868063 63.287) (xy 61.575937 63.287) (xy 61.289425 63.34399) (xy 61.019536 63.455782) (xy 60.776642 63.618078) + (xy 60.570078 63.824642) (xy 60.452 64.001359) (xy 60.333922 63.824642) (xy 60.127358 63.618078) (xy 59.884464 63.455782) + (xy 59.614575 63.34399) (xy 59.328063 63.287) (xy 59.035937 63.287) (xy 58.749425 63.34399) (xy 58.479536 63.455782) + (xy 58.236642 63.618078) (xy 58.030078 63.824642) (xy 57.867782 64.067536) (xy 57.75599 64.337425) (xy 57.699 64.623937) + (xy 57.699 64.916063) (xy 57.75599 65.202575) (xy 57.867782 65.472464) (xy 58.030078 65.715358) (xy 58.236642 65.921922) + (xy 58.413359 66.04) (xy 58.236642 66.158078) (xy 58.030078 66.364642) (xy 57.867782 66.607536) (xy 57.75599 66.877425) + (xy 57.699 67.163937) (xy 57.699 67.456063) (xy 57.75599 67.742575) (xy 57.867782 68.012464) (xy 58.030078 68.255358) + (xy 58.236642 68.461922) (xy 58.413359 68.58) (xy 58.236642 68.698078) (xy 58.030078 68.904642) (xy 57.867782 69.147536) + (xy 57.75599 69.417425) (xy 57.699 69.703937) (xy 57.699 69.996063) (xy 57.75599 70.282575) (xy 57.867782 70.552464) + (xy 58.030078 70.795358) (xy 58.236642 71.001922) (xy 58.413359 71.12) (xy 58.236642 71.238078) (xy 58.030078 71.444642) + (xy 57.867782 71.687536) (xy 57.75599 71.957425) (xy 57.699 72.243937) (xy 57.699 72.536063) (xy 57.75599 72.822575) + (xy 57.867782 73.092464) (xy 58.030078 73.335358) (xy 58.236642 73.541922) (xy 58.413359 73.66) (xy 58.236642 73.778078) + (xy 58.030078 73.984642) (xy 57.867782 74.227536) (xy 57.75599 74.497425) (xy 57.699 74.783937) (xy 57.699 75.076063) + (xy 57.746129 75.313) (xy 57.455776 75.313) (xy 57.021 74.878224) (xy 57.021 62.027776) (xy 57.430776 61.618) + (xy 66.697062 61.618) + ) + ) + (filled_polygon + (pts + (xy 78.268938 72.286) (xy 78.284042 72.439353) (xy 78.328773 72.586813) (xy 78.401413 72.722713) (xy 78.441041 72.771) + (xy 78.401413 72.819287) (xy 78.328773 72.955187) (xy 78.284042 73.102647) (xy 78.268938 73.256) (xy 78.268938 73.556) + (xy 78.278221 73.650247) (xy 77.524323 72.896351) (xy 77.50058 72.86742) (xy 77.38516 72.772697) (xy 77.253477 72.702311) + (xy 77.110594 72.658968) (xy 76.999232 72.648) (xy 76.962 72.644333) (xy 76.924768 72.648) (xy 76.448522 72.648) + (xy 76.481227 72.586813) (xy 76.525958 72.439353) (xy 76.541062 72.286) (xy 76.541062 72.132) (xy 78.268938 72.132) + ) + ) + (filled_polygon + (pts + (xy 66.487459 68.506541) (xy 66.576969 68.58) (xy 66.487459 68.653459) (xy 66.377266 68.78773) (xy 66.295385 68.940918) + (xy 66.244963 69.107137) (xy 66.227938 69.279999) (xy 66.227938 69.930001) (xy 66.244963 70.102863) (xy 66.295385 70.269082) + (xy 66.377266 70.42227) (xy 66.487459 70.556541) (xy 66.62173 70.666734) (xy 66.774918 70.748615) (xy 66.941137 70.799037) + (xy 67.113999 70.816062) (xy 68.014001 70.816062) (xy 68.186863 70.799037) (xy 68.228956 70.786268) (xy 67.983612 71.031612) + (xy 67.968066 71.050554) (xy 67.956515 71.072165) (xy 67.949402 71.095614) (xy 67.947 71.12) (xy 67.947 71.423938) + (xy 67.113999 71.423938) (xy 66.941137 71.440963) (xy 66.774918 71.491385) (xy 66.62173 71.573266) (xy 66.487459 71.683459) + (xy 66.377266 71.81773) (xy 66.295385 71.970918) (xy 66.244963 72.137137) (xy 66.227938 72.309999) (xy 66.227938 72.960001) + (xy 66.244963 73.132863) (xy 66.295385 73.299082) (xy 66.324241 73.353068) (xy 63.680966 70.735494) (xy 63.660305 70.69684) + (xy 63.565582 70.58142) (xy 63.450162 70.486697) (xy 63.318479 70.416311) (xy 63.175596 70.372968) (xy 63.113117 70.366814) + (xy 63.14801 70.282575) (xy 63.205 69.996063) (xy 63.205 69.703937) (xy 63.16104 69.482933) (xy 63.305974 69.338) + (xy 65.240768 69.338) (xy 65.278 69.341667) (xy 65.315232 69.338) (xy 65.426594 69.327032) (xy 65.569477 69.283689) + (xy 65.70116 69.213303) (xy 65.81658 69.11858) (xy 65.840323 69.089649) (xy 66.458599 68.471375) + ) + ) + (filled_polygon + (pts + (xy 68.870268 70.144956) (xy 68.883037 70.102863) (xy 68.900062 69.930001) (xy 68.900062 69.279999) (xy 68.883037 69.107137) + (xy 68.832615 68.940918) (xy 68.750734 68.78773) (xy 68.640541 68.653459) (xy 68.551031 68.58) (xy 68.640541 68.506541) + (xy 68.750734 68.37227) (xy 68.832615 68.219082) (xy 68.883037 68.052863) (xy 68.900062 67.880001) (xy 68.900062 67.419663) + (xy 68.969942 67.524245) (xy 69.127755 67.682058) (xy 69.313323 67.806051) (xy 69.519516 67.891459) (xy 69.738409 67.935) + (xy 69.961591 67.935) (xy 70.180484 67.891459) (xy 70.386677 67.806051) (xy 70.572245 67.682058) (xy 70.730058 67.524245) + (xy 70.854051 67.338677) (xy 70.939459 67.132484) (xy 70.955468 67.052) (xy 71.963224 67.052) + ) + ) + (filled_polygon + (pts + (xy 105.067134 61.709623) (xy 105.008355 61.81959) (xy 104.97216 61.93891) (xy 104.959938 62.063) (xy 104.959938 63.413) + (xy 104.97216 63.53709) (xy 105.008355 63.65641) (xy 105.067134 63.766377) (xy 105.146236 63.862764) (xy 105.242623 63.941866) + (xy 105.35259 64.000645) (xy 105.47191 64.03684) (xy 105.596 64.049062) (xy 109.578001 64.049062) (xy 109.578001 64.291938) + (xy 106.096 64.291938) (xy 105.97191 64.30416) (xy 105.85259 64.340355) (xy 105.742623 64.399134) (xy 105.646236 64.478236) + (xy 105.567134 64.574623) (xy 105.510808 64.68) (xy 99.194846 64.68) (xy 99.120541 64.589459) (xy 99.031031 64.516) + (xy 99.120541 64.442541) (xy 99.230734 64.30827) (xy 99.312615 64.155082) (xy 99.363037 63.988863) (xy 99.380062 63.816001) + (xy 99.380062 63.165999) (xy 99.363037 62.993137) (xy 99.312615 62.826918) (xy 99.230734 62.67373) (xy 99.120541 62.539459) + (xy 98.98627 62.429266) (xy 98.833082 62.347385) (xy 98.666863 62.296963) (xy 98.494001 62.279938) (xy 97.593999 62.279938) + (xy 97.421137 62.296963) (xy 97.254918 62.347385) (xy 97.10173 62.429266) (xy 96.967459 62.539459) (xy 96.857266 62.67373) + (xy 96.775385 62.826918) (xy 96.724963 62.993137) (xy 96.707938 63.165999) (xy 96.707938 63.816001) (xy 96.724963 63.988863) + (xy 96.775385 64.155082) (xy 96.857266 64.30827) (xy 96.967459 64.442541) (xy 97.056969 64.516) (xy 96.967459 64.589459) + (xy 96.857266 64.72373) (xy 96.775385 64.876918) (xy 96.767473 64.903) (xy 94.919588 64.903) (xy 94.877922 64.840642) + (xy 94.743847 64.706567) (xy 94.81941 64.683645) (xy 94.929377 64.624866) (xy 95.025764 64.545764) (xy 95.104866 64.449377) + (xy 95.163645 64.33941) (xy 95.19984 64.22009) (xy 95.212062 64.096) (xy 95.212062 62.396) (xy 95.19984 62.27191) + (xy 95.163645 62.15259) (xy 95.104866 62.042623) (xy 95.025764 61.946236) (xy 94.929377 61.867134) (xy 94.81941 61.808355) + (xy 94.70009 61.77216) (xy 94.576 61.759938) (xy 92.876 61.759938) (xy 92.75191 61.77216) (xy 92.63259 61.808355) + (xy 92.522623 61.867134) (xy 92.426236 61.946236) (xy 92.347134 62.042623) (xy 92.311655 62.109) (xy 89.451373 62.109) + (xy 89.408 62.104728) (xy 89.364627 62.109) (xy 86.963415 62.109) (xy 86.931734 62.04973) (xy 86.821541 61.915459) + (xy 86.68727 61.805266) (xy 86.534082 61.723385) (xy 86.367863 61.672963) (xy 86.195001 61.655938) (xy 85.544999 61.655938) + (xy 85.372137 61.672963) (xy 85.205918 61.723385) (xy 85.05273 61.805266) (xy 84.918459 61.915459) (xy 84.845 62.004969) + (xy 84.771541 61.915459) (xy 84.63727 61.805266) (xy 84.484082 61.723385) (xy 84.317863 61.672963) (xy 84.145001 61.655938) + (xy 83.555911 61.655938) (xy 83.517973 61.618) (xy 105.142326 61.618) + ) + ) + (filled_polygon + (pts + (xy 73.668287 61.680413) (xy 73.54917 61.77817) (xy 73.451413 61.897287) (xy 73.378773 62.033187) (xy 73.334042 62.180647) + (xy 73.318938 62.334) (xy 73.318938 62.634) (xy 73.334042 62.787353) (xy 73.378773 62.934813) (xy 73.411478 62.996) + (xy 70.141232 62.996) (xy 70.104 62.992333) (xy 70.066768 62.996) (xy 69.955406 63.006968) (xy 69.812523 63.050311) + (xy 69.68084 63.120697) (xy 69.56542 63.21542) (xy 69.541681 63.244346) (xy 68.779493 64.006535) (xy 68.750734 63.95273) + (xy 68.640541 63.818459) (xy 68.551031 63.745) (xy 68.640541 63.671541) (xy 68.750734 63.53727) (xy 68.832615 63.384082) + (xy 68.883037 63.217863) (xy 68.900062 63.045001) (xy 68.900062 62.394999) (xy 68.883037 62.222137) (xy 68.832615 62.055918) + (xy 68.750734 61.90273) (xy 68.640541 61.768459) (xy 68.50627 61.658266) (xy 68.430938 61.618) (xy 73.785054 61.618) + ) + ) + (filled_polygon + (pts + (xy 78.268938 62.634) (xy 78.284042 62.787353) (xy 78.328773 62.934813) (xy 78.401413 63.070713) (xy 78.441041 63.119) + (xy 78.401413 63.167287) (xy 78.328773 63.303187) (xy 78.284042 63.450647) (xy 78.268938 63.604) (xy 78.268938 63.904) + (xy 78.278221 63.998247) (xy 77.524323 63.244351) (xy 77.50058 63.21542) (xy 77.38516 63.120697) (xy 77.253477 63.050311) + (xy 77.110594 63.006968) (xy 76.999232 62.996) (xy 76.962 62.992333) (xy 76.924768 62.996) (xy 76.448522 62.996) + (xy 76.481227 62.934813) (xy 76.525958 62.787353) (xy 76.541062 62.634) (xy 76.541062 62.48) (xy 78.268938 62.48) + ) + ) + ) + (zone (net 2) (net_name GND) (layer B.Cu) (tstamp 5EC9E4F2) (hatch edge 0.508) + (connect_pads no (clearance 0.508)) + (min_thickness 0.25) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.254)) + (polygon + (pts + (xy 109.728 61.976) (xy 109.728 80.264) (xy 109.22 80.772) (xy 59.944 80.772) (xy 56.896 77.724) + (xy 56.896 61.976) (xy 57.404 61.468) (xy 109.22 61.468) + ) + ) + (filled_polygon + (pts + (xy 105.067134 61.709623) (xy 105.008355 61.81959) (xy 104.97216 61.93891) (xy 104.959938 62.063) (xy 104.959938 63.413) + (xy 104.97216 63.53709) (xy 105.008355 63.65641) (xy 105.067134 63.766377) (xy 105.146236 63.862764) (xy 105.242623 63.941866) + (xy 105.35259 64.000645) (xy 105.47191 64.03684) (xy 105.596 64.049062) (xy 109.578001 64.049062) (xy 109.578001 67.076938) + (xy 105.596 67.076938) (xy 105.47191 67.08916) (xy 105.35259 67.125355) (xy 105.242623 67.184134) (xy 105.146236 67.263236) + (xy 105.067134 67.359623) (xy 105.008355 67.46959) (xy 104.97216 67.58891) (xy 104.959938 67.713) (xy 104.959938 69.063) + (xy 104.97216 69.18709) (xy 105.008355 69.30641) (xy 105.067134 69.416377) (xy 105.146236 69.512764) (xy 105.242623 69.591866) + (xy 105.35259 69.650645) (xy 105.47191 69.68684) (xy 105.596 69.699062) (xy 109.578001 69.699062) (xy 109.578 72.540938) + (xy 105.596 72.540938) (xy 105.47191 72.55316) (xy 105.35259 72.589355) (xy 105.242623 72.648134) (xy 105.146236 72.727236) + (xy 105.067134 72.823623) (xy 105.008355 72.93359) (xy 104.97216 73.05291) (xy 104.959938 73.177) (xy 104.959938 74.527) + (xy 104.97216 74.65109) (xy 105.008355 74.77041) (xy 105.067134 74.880377) (xy 105.146236 74.976764) (xy 105.242623 75.055866) + (xy 105.35259 75.114645) (xy 105.47191 75.15084) (xy 105.596 75.163062) (xy 109.578 75.163062) (xy 109.578 78.190938) + (xy 105.596 78.190938) (xy 105.47191 78.20316) (xy 105.35259 78.239355) (xy 105.242623 78.298134) (xy 105.146236 78.377236) + (xy 105.067134 78.473623) (xy 105.008355 78.58359) (xy 104.97216 78.70291) (xy 104.959938 78.827) (xy 104.959938 80.177) + (xy 104.97216 80.30109) (xy 105.008355 80.42041) (xy 105.067134 80.530377) (xy 105.142326 80.622) (xy 77.698303 80.622) + (xy 77.842058 80.478245) (xy 77.966051 80.292677) (xy 78.051459 80.086484) (xy 78.095 79.867591) (xy 78.095 79.644409) + (xy 78.051459 79.425516) (xy 77.966051 79.219323) (xy 77.845 79.038158) (xy 77.845 78.318415) (xy 77.90427 78.286734) + (xy 78.038541 78.176541) (xy 78.148734 78.04227) (xy 78.230615 77.889082) (xy 78.281037 77.722863) (xy 78.298062 77.550001) + (xy 78.298062 76.899999) (xy 78.281037 76.727137) (xy 78.230615 76.560918) (xy 78.148734 76.40773) (xy 78.038541 76.273459) + (xy 77.949031 76.2) (xy 78.038541 76.126541) (xy 78.148734 75.99227) (xy 78.230615 75.839082) (xy 78.281037 75.672863) + (xy 78.298062 75.500001) (xy 78.298062 74.849999) (xy 78.281037 74.677137) (xy 78.246842 74.564409) (xy 80.909 74.564409) + (xy 80.909 74.787591) (xy 80.952541 75.006484) (xy 81.037949 75.212677) (xy 81.161942 75.398245) (xy 81.284 75.520303) + (xy 81.284001 77.641696) (xy 81.161942 77.763755) (xy 81.037949 77.949323) (xy 80.952541 78.155516) (xy 80.909 78.374409) + (xy 80.909 78.597591) (xy 80.952541 78.816484) (xy 81.037949 79.022677) (xy 81.161942 79.208245) (xy 81.319755 79.366058) + (xy 81.505323 79.490051) (xy 81.711516 79.575459) (xy 81.930409 79.619) (xy 82.153591 79.619) (xy 82.372484 79.575459) + (xy 82.578677 79.490051) (xy 82.764245 79.366058) (xy 82.922058 79.208245) (xy 83.046051 79.022677) (xy 83.131459 78.816484) + (xy 83.175 78.597591) (xy 83.175 78.374409) (xy 83.131459 78.155516) (xy 83.046051 77.949323) (xy 82.922058 77.763755) + (xy 82.8 77.641697) (xy 82.8 75.520303) (xy 82.922058 75.398245) (xy 83.046051 75.212677) (xy 83.131459 75.006484) + (xy 83.175 74.787591) (xy 83.175 74.564409) (xy 83.131459 74.345516) (xy 83.046051 74.139323) (xy 82.922058 73.953755) + (xy 82.764245 73.795942) (xy 82.578677 73.671949) (xy 82.372484 73.586541) (xy 82.153591 73.543) (xy 81.930409 73.543) + (xy 81.711516 73.586541) (xy 81.505323 73.671949) (xy 81.319755 73.795942) (xy 81.161942 73.953755) (xy 81.037949 74.139323) + (xy 80.952541 74.345516) (xy 80.909 74.564409) (xy 78.246842 74.564409) (xy 78.230615 74.510918) (xy 78.148734 74.35773) + (xy 78.038541 74.223459) (xy 77.90427 74.113266) (xy 77.751082 74.031385) (xy 77.584863 73.980963) (xy 77.412001 73.963938) + (xy 76.511999 73.963938) (xy 76.339137 73.980963) (xy 76.172918 74.031385) (xy 76.01973 74.113266) (xy 75.885459 74.223459) + (xy 75.775266 74.35773) (xy 75.693385 74.510918) (xy 75.642963 74.677137) (xy 75.625938 74.849999) (xy 75.625938 75.500001) + (xy 75.642963 75.672863) (xy 75.693385 75.839082) (xy 75.775266 75.99227) (xy 75.885459 76.126541) (xy 75.974969 76.2) + (xy 75.885459 76.273459) (xy 75.829209 76.342) (xy 74.546177 76.342) (xy 74.482541 76.264459) (xy 74.393031 76.191) + (xy 74.482541 76.117541) (xy 74.592734 75.98327) (xy 74.674615 75.830082) (xy 74.725037 75.663863) (xy 74.742062 75.491001) + (xy 74.742062 74.840999) (xy 74.725037 74.668137) (xy 74.674615 74.501918) (xy 74.592734 74.34873) (xy 74.482541 74.214459) + (xy 74.34827 74.104266) (xy 74.195082 74.022385) (xy 74.028863 73.971963) (xy 73.856001 73.954938) (xy 73.639858 73.954938) + (xy 73.648051 73.942677) (xy 73.733459 73.736484) (xy 73.777 73.517591) (xy 73.777 73.294409) (xy 73.733459 73.075516) + (xy 73.728689 73.064) (xy 92.239938 73.064) (xy 92.239938 74.764) (xy 92.25216 74.88809) (xy 92.288355 75.00741) + (xy 92.347134 75.117377) (xy 92.426236 75.213764) (xy 92.522623 75.292866) (xy 92.63259 75.351645) (xy 92.708153 75.374567) + (xy 92.574078 75.508642) (xy 92.411782 75.751536) (xy 92.29999 76.021425) (xy 92.243 76.307937) (xy 92.243 76.600063) + (xy 92.29999 76.886575) (xy 92.411782 77.156464) (xy 92.574078 77.399358) (xy 92.780642 77.605922) (xy 92.957359 77.724) + (xy 92.780642 77.842078) (xy 92.574078 78.048642) (xy 92.411782 78.291536) (xy 92.29999 78.561425) (xy 92.243 78.847937) + (xy 92.243 79.140063) (xy 92.29999 79.426575) (xy 92.411782 79.696464) (xy 92.574078 79.939358) (xy 92.780642 80.145922) + (xy 93.023536 80.308218) (xy 93.293425 80.42001) (xy 93.579937 80.477) (xy 93.872063 80.477) (xy 94.158575 80.42001) + (xy 94.428464 80.308218) (xy 94.671358 80.145922) (xy 94.877922 79.939358) (xy 95.040218 79.696464) (xy 95.15201 79.426575) + (xy 95.209 79.140063) (xy 95.209 78.847937) (xy 95.15201 78.561425) (xy 95.040218 78.291536) (xy 94.877922 78.048642) + (xy 94.671358 77.842078) (xy 94.494641 77.724) (xy 94.671358 77.605922) (xy 94.877922 77.399358) (xy 95.040218 77.156464) + (xy 95.15201 76.886575) (xy 95.209 76.600063) (xy 95.209 76.307937) (xy 95.15201 76.021425) (xy 95.040218 75.751536) + (xy 94.877922 75.508642) (xy 94.743847 75.374567) (xy 94.81941 75.351645) (xy 94.929377 75.292866) (xy 95.025764 75.213764) + (xy 95.104866 75.117377) (xy 95.163645 75.00741) (xy 95.19984 74.88809) (xy 95.212062 74.764) (xy 95.212062 73.064) + (xy 95.19984 72.93991) (xy 95.163645 72.82059) (xy 95.104866 72.710623) (xy 95.025764 72.614236) (xy 94.929377 72.535134) + (xy 94.81941 72.476355) (xy 94.70009 72.44016) (xy 94.576 72.427938) (xy 92.876 72.427938) (xy 92.75191 72.44016) + (xy 92.63259 72.476355) (xy 92.522623 72.535134) (xy 92.426236 72.614236) (xy 92.347134 72.710623) (xy 92.288355 72.82059) + (xy 92.25216 72.93991) (xy 92.239938 73.064) (xy 73.728689 73.064) (xy 73.648051 72.869323) (xy 73.524058 72.683755) + (xy 73.366245 72.525942) (xy 73.180677 72.401949) (xy 72.974484 72.316541) (xy 72.755591 72.273) (xy 72.532409 72.273) + (xy 72.313516 72.316541) (xy 72.107323 72.401949) (xy 71.921755 72.525942) (xy 71.763942 72.683755) (xy 71.639949 72.869323) + (xy 71.554541 73.075516) (xy 71.511 73.294409) (xy 71.511 73.467027) (xy 71.060028 73.918) (xy 70.440303 73.918) + (xy 70.318245 73.795942) (xy 70.132677 73.671949) (xy 69.926484 73.586541) (xy 69.707591 73.543) (xy 69.484409 73.543) + (xy 69.265516 73.586541) (xy 69.059323 73.671949) (xy 68.873755 73.795942) (xy 68.715942 73.953755) (xy 68.591949 74.139323) + (xy 68.506541 74.345516) (xy 68.463 74.564409) (xy 68.463 74.787591) (xy 68.506541 75.006484) (xy 68.591949 75.212677) + (xy 68.715942 75.398245) (xy 68.873755 75.556058) (xy 69.059323 75.680051) (xy 69.265516 75.765459) (xy 69.484409 75.809) + (xy 69.707591 75.809) (xy 69.926484 75.765459) (xy 70.008568 75.731459) (xy 69.657027 76.083) (xy 69.484409 76.083) + (xy 69.265516 76.126541) (xy 69.059323 76.211949) (xy 68.873755 76.335942) (xy 68.715942 76.493755) (xy 68.591949 76.679323) + (xy 68.506541 76.885516) (xy 68.463 77.104409) (xy 68.463 77.327591) (xy 68.506541 77.546484) (xy 68.591949 77.752677) + (xy 68.715942 77.938245) (xy 68.873755 78.096058) (xy 69.059323 78.220051) (xy 69.265516 78.305459) (xy 69.484409 78.349) + (xy 69.707591 78.349) (xy 69.926484 78.305459) (xy 70.132677 78.220051) (xy 70.318245 78.096058) (xy 70.476058 77.938245) + (xy 70.600051 77.752677) (xy 70.685459 77.546484) (xy 70.729 77.327591) (xy 70.729 77.154973) (xy 71.159629 76.724344) + (xy 71.297516 76.781459) (xy 71.516409 76.825) (xy 71.739591 76.825) (xy 71.958484 76.781459) (xy 72.085926 76.728671) + (xy 72.069938 76.890999) (xy 72.069938 77.442707) (xy 71.958484 77.396541) (xy 71.739591 77.353) (xy 71.516409 77.353) + (xy 71.297516 77.396541) (xy 71.091323 77.481949) (xy 70.905755 77.605942) (xy 70.747942 77.763755) (xy 70.623949 77.949323) + (xy 70.538541 78.155516) (xy 70.495 78.374409) (xy 70.495 78.547027) (xy 69.536028 79.506) (xy 60.257974 79.506) + (xy 59.638198 78.886225) (xy 59.884464 78.784218) (xy 60.127358 78.621922) (xy 60.261433 78.487847) (xy 60.284355 78.56341) + (xy 60.343134 78.673377) (xy 60.422236 78.769764) (xy 60.518623 78.848866) (xy 60.62859 78.907645) (xy 60.74791 78.94384) + (xy 60.872 78.956062) (xy 62.572 78.956062) (xy 62.69609 78.94384) (xy 62.81541 78.907645) (xy 62.925377 78.848866) + (xy 63.021764 78.769764) (xy 63.100866 78.673377) (xy 63.159645 78.56341) (xy 63.19584 78.44409) (xy 63.208062 78.32) + (xy 63.208062 76.62) (xy 63.19584 76.49591) (xy 63.159645 76.37659) (xy 63.100866 76.266623) (xy 63.021764 76.170236) + (xy 62.925377 76.091134) (xy 62.81541 76.032355) (xy 62.739847 76.009433) (xy 62.873922 75.875358) (xy 63.036218 75.632464) + (xy 63.14801 75.362575) (xy 63.205 75.076063) (xy 63.205 74.783937) (xy 63.14801 74.497425) (xy 63.036218 74.227536) + (xy 62.873922 73.984642) (xy 62.667358 73.778078) (xy 62.490641 73.66) (xy 62.667358 73.541922) (xy 62.873922 73.335358) + (xy 63.036218 73.092464) (xy 63.14801 72.822575) (xy 63.205 72.536063) (xy 63.205 72.243937) (xy 63.14801 71.957425) + (xy 63.036218 71.687536) (xy 62.873922 71.444642) (xy 62.667358 71.238078) (xy 62.490641 71.12) (xy 62.667358 71.001922) + (xy 62.873922 70.795358) (xy 63.036218 70.552464) (xy 63.14801 70.282575) (xy 63.205 69.996063) (xy 63.205 69.703937) + (xy 63.14801 69.417425) (xy 63.036218 69.147536) (xy 62.873922 68.904642) (xy 62.667358 68.698078) (xy 62.490641 68.58) + (xy 62.667358 68.461922) (xy 62.873922 68.255358) (xy 63.036218 68.012464) (xy 63.14801 67.742575) (xy 63.205 67.456063) + (xy 63.205 67.163937) (xy 63.14801 66.877425) (xy 63.036218 66.607536) (xy 62.873922 66.364642) (xy 62.667358 66.158078) + (xy 62.490641 66.04) (xy 62.667358 65.921922) (xy 62.873922 65.715358) (xy 62.99911 65.528) (xy 67.504028 65.528) + (xy 68.717 66.740973) (xy 68.717 66.913591) (xy 68.760541 67.132484) (xy 68.845949 67.338677) (xy 68.969942 67.524245) + (xy 69.127755 67.682058) (xy 69.313323 67.806051) (xy 69.519516 67.891459) (xy 69.738409 67.935) (xy 69.961591 67.935) + (xy 70.180484 67.891459) (xy 70.386677 67.806051) (xy 70.572245 67.682058) (xy 70.730058 67.524245) (xy 70.854051 67.338677) + (xy 70.939459 67.132484) (xy 70.983 66.913591) (xy 70.983 66.690409) (xy 70.939459 66.471516) (xy 70.854051 66.265323) + (xy 70.730058 66.079755) (xy 70.572245 65.921942) (xy 70.386677 65.797949) (xy 70.180484 65.712541) (xy 69.961591 65.669) + (xy 69.788973 65.669) (xy 69.317972 65.197999) (xy 72.069938 65.197999) (xy 72.069938 65.848001) (xy 72.086963 66.020863) + (xy 72.137385 66.187082) (xy 72.219266 66.34027) (xy 72.329459 66.474541) (xy 72.418969 66.548) (xy 72.329459 66.621459) + (xy 72.219266 66.75573) (xy 72.137385 66.908918) (xy 72.086963 67.075137) (xy 72.069938 67.247999) (xy 72.069938 67.898001) + (xy 72.086963 68.070863) (xy 72.137385 68.237082) (xy 72.219266 68.39027) (xy 72.329459 68.524541) (xy 72.46373 68.634734) + (xy 72.616918 68.716615) (xy 72.783137 68.767037) (xy 72.955999 68.784062) (xy 73.856001 68.784062) (xy 74.028863 68.767037) + (xy 74.195082 68.716615) (xy 74.34827 68.634734) (xy 74.482541 68.524541) (xy 74.546177 68.447) (xy 75.829209 68.447) + (xy 75.885459 68.515541) (xy 76.01973 68.625734) (xy 76.079001 68.657415) (xy 76.079 69.386158) (xy 75.957949 69.567323) + (xy 75.872541 69.773516) (xy 75.829 69.992409) (xy 75.829 70.215591) (xy 75.872541 70.434484) (xy 75.957949 70.640677) + (xy 76.081942 70.826245) (xy 76.239755 70.984058) (xy 76.425323 71.108051) (xy 76.631516 71.193459) (xy 76.850409 71.237) + (xy 77.073591 71.237) (xy 77.292484 71.193459) (xy 77.498677 71.108051) (xy 77.684245 70.984058) (xy 77.718989 70.949314) + (xy 100.629 70.949314) (xy 100.629 71.290686) (xy 100.695598 71.625497) (xy 100.826236 71.940883) (xy 101.015891 72.224723) + (xy 101.257277 72.466109) (xy 101.541117 72.655764) (xy 101.856503 72.786402) (xy 102.191314 72.853) (xy 102.532686 72.853) + (xy 102.867497 72.786402) (xy 103.182883 72.655764) (xy 103.466723 72.466109) (xy 103.708109 72.224723) (xy 103.897764 71.940883) + (xy 104.028402 71.625497) (xy 104.095 71.290686) (xy 104.095 70.949314) (xy 104.028402 70.614503) (xy 103.897764 70.299117) + (xy 103.708109 70.015277) (xy 103.466723 69.773891) (xy 103.182883 69.584236) (xy 102.867497 69.453598) (xy 102.532686 69.387) + (xy 102.191314 69.387) (xy 101.856503 69.453598) (xy 101.541117 69.584236) (xy 101.257277 69.773891) (xy 101.015891 70.015277) + (xy 100.826236 70.299117) (xy 100.695598 70.614503) (xy 100.629 70.949314) (xy 77.718989 70.949314) (xy 77.842058 70.826245) + (xy 77.966051 70.640677) (xy 78.051459 70.434484) (xy 78.095 70.215591) (xy 78.095 69.992409) (xy 78.051459 69.773516) + (xy 77.966051 69.567323) (xy 77.845 69.386158) (xy 77.845 68.657415) (xy 77.90427 68.625734) (xy 78.038541 68.515541) + (xy 78.148734 68.38127) (xy 78.230615 68.228082) (xy 78.281037 68.061863) (xy 78.298062 67.889001) (xy 78.298062 67.238999) + (xy 78.281037 67.066137) (xy 78.230615 66.899918) (xy 78.148734 66.74673) (xy 78.038541 66.612459) (xy 77.949031 66.539) + (xy 78.038541 66.465541) (xy 78.148734 66.33127) (xy 78.230615 66.178082) (xy 78.281037 66.011863) (xy 78.298062 65.839001) + (xy 78.298062 65.188999) (xy 78.281037 65.016137) (xy 78.249572 64.912409) (xy 80.909 64.912409) (xy 80.909 65.135591) + (xy 80.952541 65.354484) (xy 81.037949 65.560677) (xy 81.161942 65.746245) (xy 81.284 65.868303) (xy 81.284001 67.989696) + (xy 81.161942 68.111755) (xy 81.037949 68.297323) (xy 80.952541 68.503516) (xy 80.909 68.722409) (xy 80.909 68.945591) + (xy 80.952541 69.164484) (xy 81.037949 69.370677) (xy 81.161942 69.556245) (xy 81.319755 69.714058) (xy 81.505323 69.838051) + (xy 81.711516 69.923459) (xy 81.930409 69.967) (xy 82.153591 69.967) (xy 82.372484 69.923459) (xy 82.578677 69.838051) + (xy 82.764245 69.714058) (xy 82.922058 69.556245) (xy 83.046051 69.370677) (xy 83.131459 69.164484) (xy 83.175 68.945591) + (xy 83.175 68.722409) (xy 83.131459 68.503516) (xy 83.046051 68.297323) (xy 82.922058 68.111755) (xy 82.8 67.989697) + (xy 82.8 65.868303) (xy 82.922058 65.746245) (xy 83.046051 65.560677) (xy 83.131459 65.354484) (xy 83.175 65.135591) + (xy 83.175 64.912409) (xy 83.131459 64.693516) (xy 83.046051 64.487323) (xy 82.922058 64.301755) (xy 82.764245 64.143942) + (xy 82.578677 64.019949) (xy 82.372484 63.934541) (xy 82.153591 63.891) (xy 81.930409 63.891) (xy 81.711516 63.934541) + (xy 81.505323 64.019949) (xy 81.319755 64.143942) (xy 81.161942 64.301755) (xy 81.037949 64.487323) (xy 80.952541 64.693516) + (xy 80.909 64.912409) (xy 78.249572 64.912409) (xy 78.230615 64.849918) (xy 78.148734 64.69673) (xy 78.038541 64.562459) + (xy 77.90427 64.452266) (xy 77.751082 64.370385) (xy 77.584863 64.319963) (xy 77.412001 64.302938) (xy 76.511999 64.302938) + (xy 76.339137 64.319963) (xy 76.172918 64.370385) (xy 76.01973 64.452266) (xy 75.885459 64.562459) (xy 75.775266 64.69673) + (xy 75.693385 64.849918) (xy 75.642963 65.016137) (xy 75.625938 65.188999) (xy 75.625938 65.839001) (xy 75.642963 66.011863) + (xy 75.693385 66.178082) (xy 75.775266 66.33127) (xy 75.885459 66.465541) (xy 75.974969 66.539) (xy 75.885459 66.612459) + (xy 75.829209 66.681) (xy 74.531405 66.681) (xy 74.482541 66.621459) (xy 74.393031 66.548) (xy 74.482541 66.474541) + (xy 74.592734 66.34027) (xy 74.674615 66.187082) (xy 74.725037 66.020863) (xy 74.742062 65.848001) (xy 74.742062 65.197999) + (xy 74.725037 65.025137) (xy 74.674615 64.858918) (xy 74.592734 64.70573) (xy 74.482541 64.571459) (xy 74.34827 64.461266) + (xy 74.195082 64.379385) (xy 74.028863 64.328963) (xy 73.856001 64.311938) (xy 72.955999 64.311938) (xy 72.783137 64.328963) + (xy 72.616918 64.379385) (xy 72.46373 64.461266) (xy 72.329459 64.571459) (xy 72.219266 64.70573) (xy 72.137385 64.858918) + (xy 72.086963 65.025137) (xy 72.069938 65.197999) (xy 69.317972 65.197999) (xy 68.380324 64.260352) (xy 68.35658 64.23142) + (xy 68.24116 64.136697) (xy 68.109477 64.066311) (xy 67.966594 64.022968) (xy 67.855232 64.012) (xy 67.818 64.008333) + (xy 67.780768 64.012) (xy 62.99911 64.012) (xy 62.873922 63.824642) (xy 62.667358 63.618078) (xy 62.424464 63.455782) + (xy 62.154575 63.34399) (xy 61.868063 63.287) (xy 61.575937 63.287) (xy 61.289425 63.34399) (xy 61.019536 63.455782) + (xy 60.776642 63.618078) (xy 60.570078 63.824642) (xy 60.452 64.001359) (xy 60.333922 63.824642) (xy 60.127358 63.618078) + (xy 59.884464 63.455782) (xy 59.614575 63.34399) (xy 59.328063 63.287) (xy 59.035937 63.287) (xy 58.749425 63.34399) + (xy 58.479536 63.455782) (xy 58.236642 63.618078) (xy 58.030078 63.824642) (xy 57.867782 64.067536) (xy 57.75599 64.337425) + (xy 57.699 64.623937) (xy 57.699 64.916063) (xy 57.74296 65.137068) (xy 57.021 65.859028) (xy 57.021 62.396) + (xy 92.239938 62.396) (xy 92.239938 64.096) (xy 92.25216 64.22009) (xy 92.288355 64.33941) (xy 92.347134 64.449377) + (xy 92.426236 64.545764) (xy 92.522623 64.624866) (xy 92.63259 64.683645) (xy 92.708153 64.706567) (xy 92.574078 64.840642) + (xy 92.411782 65.083536) (xy 92.29999 65.353425) (xy 92.243 65.639937) (xy 92.243 65.932063) (xy 92.29999 66.218575) + (xy 92.411782 66.488464) (xy 92.574078 66.731358) (xy 92.780642 66.937922) (xy 92.957359 67.056) (xy 92.780642 67.174078) + (xy 92.574078 67.380642) (xy 92.411782 67.623536) (xy 92.29999 67.893425) (xy 92.243 68.179937) (xy 92.243 68.472063) + (xy 92.29999 68.758575) (xy 92.411782 69.028464) (xy 92.574078 69.271358) (xy 92.780642 69.477922) (xy 93.023536 69.640218) + (xy 93.293425 69.75201) (xy 93.579937 69.809) (xy 93.872063 69.809) (xy 94.158575 69.75201) (xy 94.428464 69.640218) + (xy 94.671358 69.477922) (xy 94.877922 69.271358) (xy 95.040218 69.028464) (xy 95.15201 68.758575) (xy 95.209 68.472063) + (xy 95.209 68.179937) (xy 95.15201 67.893425) (xy 95.040218 67.623536) (xy 94.877922 67.380642) (xy 94.671358 67.174078) + (xy 94.494641 67.056) (xy 94.671358 66.937922) (xy 94.877922 66.731358) (xy 95.040218 66.488464) (xy 95.15201 66.218575) + (xy 95.209 65.932063) (xy 95.209 65.639937) (xy 95.15201 65.353425) (xy 95.040218 65.083536) (xy 94.877922 64.840642) + (xy 94.743847 64.706567) (xy 94.81941 64.683645) (xy 94.929377 64.624866) (xy 95.025764 64.545764) (xy 95.104866 64.449377) + (xy 95.163645 64.33941) (xy 95.19984 64.22009) (xy 95.212062 64.096) (xy 95.212062 62.396) (xy 95.19984 62.27191) + (xy 95.163645 62.15259) (xy 95.104866 62.042623) (xy 95.025764 61.946236) (xy 94.929377 61.867134) (xy 94.81941 61.808355) + (xy 94.70009 61.77216) (xy 94.576 61.759938) (xy 92.876 61.759938) (xy 92.75191 61.77216) (xy 92.63259 61.808355) + (xy 92.522623 61.867134) (xy 92.426236 61.946236) (xy 92.347134 62.042623) (xy 92.288355 62.15259) (xy 92.25216 62.27191) + (xy 92.239938 62.396) (xy 57.021 62.396) (xy 57.021 62.027776) (xy 57.430776 61.618) (xy 105.142326 61.618) + ) + ) + ) +) diff --git a/sma2_plug_v2/design/kicad/sma2_plug_v2.net b/sma2_plug_v2/design/kicad/sma2_plug_v2.net new file mode 100644 index 0000000..e000bdd --- /dev/null +++ b/sma2_plug_v2/design/kicad/sma2_plug_v2.net @@ -0,0 +1,424 @@ +(export (version D) + (design + (source C:\Users\Joris\Documents\kicad\sma2_plug_v2\sma2_plug_v2.sch) + (date "2020-05-31 18:29:39") + (tool "Eeschema (5.1.5)-3") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title "SMA2 Plug v2") + (company) + (rev 2.1) + (date 2020-05-31) + (source sma2_plug_v2.sch) + (comment (number 1) (value "Licensed under CERN-OHL-S v2 https://cern.ch/cern-ohl")) + (comment (number 2) (value "Copyright 2020 Joris van Rantwijk")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref J3) + (value Conn_02x06_Top_Bottom) + (footprint Connector_PinHeader_2.54mm:PinHeader_2x06_P2.54mm_Horizontal) + (datasheet ~) + (libsource (lib Connector_Generic) (part Conn_02x06_Odd_Even) (description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E5F93EF)) + (comp (ref U2) + (value 74LVC126) + (footprint Package_SO:SOIC-14_3.9x8.7mm_P1.27mm) + (datasheet http://www.ti.com/lit/gpn/sn74LS126) + (libsource (lib 74xx) (part 74LS126) (description "Quad buffer 3-State outputs")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E603A4E)) + (comp (ref U1) + (value 74LVC126) + (footprint Package_SO:SOIC-14_3.9x8.7mm_P1.27mm) + (datasheet http://www.ti.com/lit/gpn/sn74LS126) + (libsource (lib 74xx) (part 74LS126) (description "Quad buffer 3-State outputs")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E6295DE)) + (comp (ref J1) + (value Conn_Coaxial) + (footprint Connector_Coaxial:SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount) + (datasheet " ~") + (libsource (lib Connector) (part Conn_Coaxial) (description "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, ...)")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E629612)) + (comp (ref R3) + (value 33) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E629618)) + (comp (ref R4) + (value 33) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E629620)) + (comp (ref R5) + (value 33) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E629626)) + (comp (ref R8) + (value 50) + (footprint Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E629645)) + (comp (ref C3) + (value 1uF) + (footprint Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part C) (description "Unpolarized capacitor")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E65DDA0)) + (comp (ref C4) + (value 100nF) + (footprint Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part C) (description "Unpolarized capacitor")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E65E58F)) + (comp (ref C1) + (value 1uF) + (footprint Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part C) (description "Unpolarized capacitor")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E69295B)) + (comp (ref C2) + (value 100nF) + (footprint Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part C) (description "Unpolarized capacitor")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E692961)) + (comp (ref R9) + (value 10k) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E615CAC)) + (comp (ref R10) + (value 10k) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E638303)) + (comp (ref R1) + (value 10k) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E696119)) + (comp (ref R2) + (value 10k) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E69611F)) + (comp (ref J2) + (value Conn_Coaxial) + (footprint Connector_Coaxial:SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount) + (datasheet " ~") + (libsource (lib Connector) (part Conn_Coaxial) (description "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, ...)")) + (sheetpath (names /) (tstamps /)) + (tstamp 5E60806F)) + (comp (ref R7) + (value 10k) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E7810C1)) + (comp (ref R13) + (value 33) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E60A55C)) + (comp (ref R12) + (value 33) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E60A204)) + (comp (ref R11) + (value 33) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E6087B4)) + (comp (ref R14) + (value 33) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5EF7A2DB)) + (comp (ref R6) + (value 33) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5F0E1442)) + (comp (ref JP2) + (value Jumper_3_Open) + (footprint Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical) + (datasheet ~) + (libsource (lib Jumper) (part Jumper_3_Open) (description "Jumper, 3-pole, both open")) + (sheetpath (names /) (tstamps /)) + (tstamp 5F1ABB3C)) + (comp (ref R15) + (value 10k) + (footprint Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E73F947)) + (comp (ref R16) + (value 50) + (footprint Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder) + (datasheet ~) + (libsource (lib Device) (part R) (description Resistor)) + (sheetpath (names /) (tstamps /)) + (tstamp 5E76DD7C)) + (comp (ref JP1) + (value Jumper_3_Open) + (footprint Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical) + (datasheet ~) + (libsource (lib Jumper) (part Jumper_3_Open) (description "Jumper, 3-pole, both open")) + (sheetpath (names /) (tstamps /)) + (tstamp 5F2BA64E))) + (libparts + (libpart (lib 74xx) (part 74LS126) + (description "Quad buffer 3-State outputs") + (docs http://www.ti.com/lit/gpn/sn74LS126) + (footprints + (fp DIP*W7.62mm*)) + (fields + (field (name Reference) U) + (field (name Value) 74LS126)) + (pins + (pin (num 1) (name ~) (type input)) + (pin (num 2) (name ~) (type input)) + (pin (num 3) (name ~) (type 3state)) + (pin (num 4) (name ~) (type input)) + (pin (num 5) (name ~) (type input)) + (pin (num 6) (name ~) (type 3state)) + (pin (num 7) (name GND) (type power_in)) + (pin (num 8) (name ~) (type 3state)) + (pin (num 9) (name ~) (type input)) + (pin (num 10) (name ~) (type input)) + (pin (num 11) (name ~) (type 3state)) + (pin (num 12) (name ~) (type input)) + (pin (num 13) (name ~) (type input)) + (pin (num 14) (name VCC) (type power_in)))) + (libpart (lib Connector) (part Conn_Coaxial) + (description "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, ...)") + (docs " ~") + (footprints + (fp *BNC*) + (fp *SMA*) + (fp *SMB*) + (fp *SMC*) + (fp *Cinch*)) + (fields + (field (name Reference) J) + (field (name Value) Conn_Coaxial)) + (pins + (pin (num 1) (name In) (type passive)) + (pin (num 2) (name Ext) (type passive)))) + (libpart (lib Connector_Generic) (part Conn_02x06_Odd_Even) + (description "Generic connector, double row, 02x06, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (docs ~) + (footprints + (fp Connector*:*_2x??_*)) + (fields + (field (name Reference) J) + (field (name Value) Conn_02x06_Odd_Even)) + (pins + (pin (num 1) (name Pin_1) (type passive)) + (pin (num 2) (name Pin_2) (type passive)) + (pin (num 3) (name Pin_3) (type passive)) + (pin (num 4) (name Pin_4) (type passive)) + (pin (num 5) (name Pin_5) (type passive)) + (pin (num 6) (name Pin_6) (type passive)) + (pin (num 7) (name Pin_7) (type passive)) + (pin (num 8) (name Pin_8) (type passive)) + (pin (num 9) (name Pin_9) (type passive)) + (pin (num 10) (name Pin_10) (type passive)) + (pin (num 11) (name Pin_11) (type passive)) + (pin (num 12) (name Pin_12) (type passive)))) + (libpart (lib Device) (part C) + (description "Unpolarized capacitor") + (docs ~) + (footprints + (fp C_*)) + (fields + (field (name Reference) C) + (field (name Value) C)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib Device) (part R) + (description Resistor) + (docs ~) + (footprints + (fp R_*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib Jumper) (part Jumper_3_Open) + (description "Jumper, 3-pole, both open") + (docs ~) + (footprints + (fp SolderJumper*Open*)) + (fields + (field (name Reference) JP) + (field (name Value) Jumper_3_Open)) + (pins + (pin (num 1) (name A) (type passive)) + (pin (num 2) (name C) (type input)) + (pin (num 3) (name B) (type passive))))) + (libraries + (library (logical 74xx) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/74xx.lib")) + (library (logical Connector) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Connector.lib")) + (library (logical Connector_Generic) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Connector_Generic.lib")) + (library (logical Device) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Device.lib")) + (library (logical Jumper) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Jumper.lib"))) + (nets + (net (code 1) (name GND) + (node (ref R8) (pin 2)) + (node (ref R16) (pin 2)) + (node (ref C1) (pin 2)) + (node (ref R9) (pin 2)) + (node (ref R10) (pin 2)) + (node (ref J3) (pin 4)) + (node (ref J3) (pin 3)) + (node (ref U1) (pin 7)) + (node (ref R15) (pin 1)) + (node (ref C2) (pin 2)) + (node (ref U2) (pin 7)) + (node (ref C4) (pin 2)) + (node (ref C3) (pin 2)) + (node (ref J2) (pin 2)) + (node (ref R7) (pin 1)) + (node (ref R2) (pin 2)) + (node (ref R1) (pin 2)) + (node (ref J1) (pin 2))) + (net (code 2) (name "Net-(R12-Pad2)") + (node (ref U2) (pin 6)) + (node (ref R12) (pin 2))) + (net (code 3) (name "Net-(R11-Pad2)") + (node (ref R11) (pin 2)) + (node (ref U2) (pin 3))) + (net (code 4) (name "Net-(R13-Pad2)") + (node (ref R13) (pin 2)) + (node (ref U2) (pin 8))) + (net (code 5) (name +3V3) + (node (ref U1) (pin 13)) + (node (ref C4) (pin 1)) + (node (ref J3) (pin 2)) + (node (ref U2) (pin 13)) + (node (ref J3) (pin 1)) + (node (ref U1) (pin 14)) + (node (ref C1) (pin 1)) + (node (ref C2) (pin 1)) + (node (ref C3) (pin 1)) + (node (ref U2) (pin 14))) + (net (code 6) (name OUT2) + (node (ref U2) (pin 2)) + (node (ref U2) (pin 5)) + (node (ref J3) (pin 9)) + (node (ref U2) (pin 9)) + (node (ref R9) (pin 1))) + (net (code 7) (name "Net-(JP2-Pad1)") + (node (ref R13) (pin 1)) + (node (ref R14) (pin 1)) + (node (ref R12) (pin 1)) + (node (ref R11) (pin 1)) + (node (ref JP2) (pin 1))) + (net (code 8) (name "Net-(J1-Pad1)") + (node (ref R6) (pin 1)) + (node (ref J1) (pin 1)) + (node (ref U1) (pin 12)) + (node (ref R7) (pin 2)) + (node (ref JP1) (pin 2))) + (net (code 9) (name "Net-(J2-Pad1)") + (node (ref R15) (pin 2)) + (node (ref R14) (pin 2)) + (node (ref J2) (pin 1)) + (node (ref JP2) (pin 2)) + (node (ref U2) (pin 12))) + (net (code 10) (name "Net-(JP1-Pad1)") + (node (ref R3) (pin 1)) + (node (ref R4) (pin 1)) + (node (ref JP1) (pin 1)) + (node (ref R6) (pin 2)) + (node (ref R5) (pin 1))) + (net (code 11) (name "Net-(JP1-Pad3)") + (node (ref R8) (pin 1)) + (node (ref JP1) (pin 3))) + (net (code 12) (name "Net-(JP2-Pad3)") + (node (ref R16) (pin 1)) + (node (ref JP2) (pin 3))) + (net (code 13) (name "Net-(R5-Pad2)") + (node (ref U1) (pin 8)) + (node (ref R5) (pin 2))) + (net (code 14) (name "Net-(R4-Pad2)") + (node (ref R4) (pin 2)) + (node (ref U1) (pin 6))) + (net (code 15) (name "Net-(R3-Pad2)") + (node (ref R3) (pin 2)) + (node (ref U1) (pin 3))) + (net (code 16) (name IN2) + (node (ref U2) (pin 11)) + (node (ref J3) (pin 11))) + (net (code 17) (name OE2) + (node (ref R10) (pin 1)) + (node (ref U2) (pin 4)) + (node (ref J3) (pin 7)) + (node (ref U2) (pin 10)) + (node (ref U2) (pin 1))) + (net (code 18) (name "Net-(J3-Pad6)") + (node (ref J3) (pin 6))) + (net (code 19) (name "Net-(J3-Pad5)") + (node (ref J3) (pin 5))) + (net (code 20) (name IN1) + (node (ref U1) (pin 11)) + (node (ref J3) (pin 12))) + (net (code 21) (name OUT1) + (node (ref U1) (pin 9)) + (node (ref U1) (pin 2)) + (node (ref U1) (pin 5)) + (node (ref J3) (pin 10)) + (node (ref R1) (pin 1))) + (net (code 22) (name OE1) + (node (ref U1) (pin 1)) + (node (ref J3) (pin 8)) + (node (ref U1) (pin 4)) + (node (ref U1) (pin 10)) + (node (ref R2) (pin 1))))) \ No newline at end of file diff --git a/sma2_plug_v2/design/kicad/sma2_plug_v2.pro b/sma2_plug_v2/design/kicad/sma2_plug_v2.pro new file mode 100644 index 0000000..300efb7 --- /dev/null +++ b/sma2_plug_v2/design/kicad/sma2_plug_v2.pro @@ -0,0 +1,248 @@ +update=2020-05-23 22:20:29 +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName=Pcbnew +SpiceAjustPassiveValues=0 +LabSize=50 +ERC_TestSimilarLabels=1 +[pcbnew] +version=1 +PageLayoutDescrFile= +LastNetListRead= +CopperLayerCount=2 +BoardThickness=1.6 +AllowMicroVias=0 +AllowBlindVias=0 +RequireCourtyardDefinitions=0 +ProhibitOverlappingCourtyards=0 +MinTrackWidth=0.2 +MinViaDiameter=0.6 +MinViaDrill=0.35 +MinMicroViaDiameter=0.2 +MinMicroViaDrill=0.09999999999999999 +MinHoleToHole=0.25 +TrackWidth1=0.25 +ViaDiameter1=1 +ViaDrill1=0.5 +dPairWidth1=0.2 +dPairGap1=0.25 +dPairViaGap1=0.25 +SilkLineWidth=0.12 +SilkTextSizeV=1 +SilkTextSizeH=1 +SilkTextSizeThickness=0.15 +SilkTextItalic=0 +SilkTextUpright=1 +CopperLineWidth=0.2 +CopperTextSizeV=1.5 +CopperTextSizeH=1.5 +CopperTextThickness=0.3 +CopperTextItalic=0 +CopperTextUpright=1 +EdgeCutLineWidth=0.05 +CourtyardLineWidth=0.05 +OthersLineWidth=0.15 +OthersTextSizeV=1 +OthersTextSizeH=1 +OthersTextSizeThickness=0.15 +OthersTextItalic=0 +OthersTextUpright=1 +SolderMaskClearance=0.051 +SolderMaskMinWidth=0.25 +SolderPasteClearance=0 +SolderPasteRatio=-0 +[pcbnew/Layer.F.Cu] +Name=F.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.In1.Cu] +Name=In1.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In2.Cu] +Name=In2.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In3.Cu] +Name=In3.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In4.Cu] +Name=In4.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In5.Cu] +Name=In5.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In6.Cu] +Name=In6.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In7.Cu] +Name=In7.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In8.Cu] +Name=In8.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In9.Cu] +Name=In9.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In10.Cu] +Name=In10.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In11.Cu] +Name=In11.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In12.Cu] +Name=In12.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In13.Cu] +Name=In13.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In14.Cu] +Name=In14.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In15.Cu] +Name=In15.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In16.Cu] +Name=In16.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In17.Cu] +Name=In17.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In18.Cu] +Name=In18.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In19.Cu] +Name=In19.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In20.Cu] +Name=In20.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In21.Cu] +Name=In21.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In22.Cu] +Name=In22.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In23.Cu] +Name=In23.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In24.Cu] +Name=In24.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In25.Cu] +Name=In25.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In26.Cu] +Name=In26.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In27.Cu] +Name=In27.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In28.Cu] +Name=In28.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In29.Cu] +Name=In29.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In30.Cu] +Name=In30.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.B.Cu] +Name=B.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.B.Adhes] +Enabled=1 +[pcbnew/Layer.F.Adhes] +Enabled=1 +[pcbnew/Layer.B.Paste] +Enabled=1 +[pcbnew/Layer.F.Paste] +Enabled=1 +[pcbnew/Layer.B.SilkS] +Enabled=1 +[pcbnew/Layer.F.SilkS] +Enabled=1 +[pcbnew/Layer.B.Mask] +Enabled=1 +[pcbnew/Layer.F.Mask] +Enabled=1 +[pcbnew/Layer.Dwgs.User] +Enabled=1 +[pcbnew/Layer.Cmts.User] +Enabled=1 +[pcbnew/Layer.Eco1.User] +Enabled=1 +[pcbnew/Layer.Eco2.User] +Enabled=1 +[pcbnew/Layer.Edge.Cuts] +Enabled=1 +[pcbnew/Layer.Margin] +Enabled=1 +[pcbnew/Layer.B.CrtYd] +Enabled=1 +[pcbnew/Layer.F.CrtYd] +Enabled=1 +[pcbnew/Layer.B.Fab] +Enabled=1 +[pcbnew/Layer.F.Fab] +Enabled=1 +[pcbnew/Layer.Rescue] +Enabled=0 +[pcbnew/Netclasses] +[pcbnew/Netclasses/Default] +Name=Default +Clearance=0.25 +TrackWidth=0.25 +ViaDiameter=1 +ViaDrill=0.5 +uViaDiameter=0.3 +uViaDrill=0.1 +dPairWidth=0.2 +dPairGap=0.25 +dPairViaGap=0.25 diff --git a/sma2_plug_v2/design/kicad/sma2_plug_v2.sch b/sma2_plug_v2/design/kicad/sma2_plug_v2.sch new file mode 100644 index 0000000..d550821 --- /dev/null +++ b/sma2_plug_v2/design/kicad/sma2_plug_v2.sch @@ -0,0 +1,916 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "SMA2 Plug v2" +Date "2020-05-31" +Rev "2.1" +Comp "" +Comment1 "Licensed under CERN-OHL-S v2 https://cern.ch/cern-ohl" +Comment2 "Copyright 2020 Joris van Rantwijk" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L power:GND #PWR0101 +U 1 1 5E5FAB7F +P 1250 1850 +F 0 "#PWR0101" H 1250 1600 50 0001 C CNN +F 1 "GND" H 1255 1677 50 0000 C CNN +F 2 "" H 1250 1850 50 0001 C CNN +F 3 "" H 1250 1850 50 0001 C CNN + 1 1250 1850 + 1 0 0 -1 +$EndComp +$Comp +L power:+3.3V #PWR0102 +U 1 1 5E5FBDC3 +P 1500 2200 +F 0 "#PWR0102" H 1500 2050 50 0001 C CNN +F 1 "+3.3V" H 1515 2373 50 0000 C CNN +F 2 "" H 1500 2200 50 0001 C CNN +F 3 "" H 1500 2200 50 0001 C CNN + 1 1500 2200 + 1 0 0 -1 +$EndComp +Text GLabel 2450 1450 2 79 Input ~ 0 +IN2 +Text GLabel 2450 1650 2 79 Input ~ 0 +OE2 +Text GLabel 2800 1550 2 79 Input ~ 0 +OUT2 +Wire Wire Line + 1850 1450 1750 1450 +Wire Wire Line + 1850 1550 1400 1550 +Wire Wire Line + 1850 1650 1750 1650 +$Comp +L Connector_Generic:Conn_02x06_Odd_Even J3 +U 1 1 5E5F93EF +P 2150 1750 +F 0 "J3" H 2200 1350 50 0000 C CNN +F 1 "Conn_02x06_Top_Bottom" H 2200 2076 50 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_2x06_P2.54mm_Horizontal" H 2150 1750 50 0001 C CNN +F 3 "~" H 2150 1750 50 0001 C CNN + 1 2150 1750 + -1 0 0 1 +$EndComp +Wire Wire Line + 1850 1950 1800 1950 +Wire Wire Line + 1800 1950 1800 2200 +$Comp +L power:GND #PWR0103 +U 1 1 5E60127F +P 3000 1850 +F 0 "#PWR0103" H 3000 1600 50 0001 C CNN +F 1 "GND" H 3005 1677 50 0000 C CNN +F 2 "" H 3000 1850 50 0001 C CNN +F 3 "" H 3000 1850 50 0001 C CNN + 1 3000 1850 + 1 0 0 -1 +$EndComp +$Comp +L power:+3.3V #PWR0104 +U 1 1 5E601ADD +P 2750 2200 +F 0 "#PWR0104" H 2750 2050 50 0001 C CNN +F 1 "+3.3V" H 2765 2373 50 0000 C CNN +F 2 "" H 2750 2200 50 0001 C CNN +F 3 "" H 2750 2200 50 0001 C CNN + 1 2750 2200 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2350 1950 2400 1950 +Wire Wire Line + 2400 1950 2400 2200 +Text GLabel 1750 1450 0 79 Input ~ 0 +IN1 +Wire Wire Line + 2350 1450 2450 1450 +Text GLabel 1750 1650 0 79 Input ~ 0 +OE1 +Wire Wire Line + 2350 1650 2450 1650 +Text GLabel 1400 1550 0 79 Input ~ 0 +OUT1 +Wire Wire Line + 2350 1550 2800 1550 +NoConn ~ 1850 1750 +NoConn ~ 2350 1750 +$Comp +L 74xx:74LS126 U2 +U 1 1 5E603A4E +P 8800 2000 +F 0 "U2" H 8800 2317 50 0000 C CNN +F 1 "74LVC126" H 8800 2226 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 8800 2000 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 8800 2000 50 0001 C CNN + 1 8800 2000 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74LS126 U2 +U 2 1 5E6071C7 +P 8800 2750 +F 0 "U2" H 8800 3067 50 0000 C CNN +F 1 "74LVC126" H 8800 2976 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 8800 2750 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 8800 2750 50 0001 C CNN + 2 8800 2750 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8500 2000 8400 2000 +Wire Wire Line + 8500 2750 8400 2750 +Wire Wire Line + 8400 2750 8400 2000 +Connection ~ 8400 2000 +Wire Wire Line + 8400 2000 8000 2000 +Wire Wire Line + 8800 3000 8100 3000 +Wire Wire Line + 8100 3000 8100 2250 +Wire Wire Line + 8000 2250 8100 2250 +Wire Wire Line + 8100 2250 8800 2250 +Connection ~ 8100 2250 +$Comp +L 74xx:74LS126 U2 +U 4 1 5E5FF560 +P 8800 1250 +F 0 "U2" H 8950 1350 50 0000 C CNN +F 1 "74LVC126" H 8900 1450 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 8800 1250 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 8800 1250 50 0001 C CNN + 4 8800 1250 + -1 0 0 1 +$EndComp +Wire Wire Line + 8500 3500 8400 3500 +Wire Wire Line + 8400 3500 8400 2750 +Connection ~ 8400 2750 +Wire Wire Line + 8800 3750 8100 3750 +Wire Wire Line + 8100 3750 8100 3000 +Connection ~ 8100 3000 +Text GLabel 8000 1250 0 79 Input ~ 0 +IN2 +Wire Wire Line + 8000 1250 8500 1250 +$Comp +L power:+3.3V #PWR0105 +U 1 1 5E604964 +P 8300 1000 +F 0 "#PWR0105" H 8300 850 50 0001 C CNN +F 1 "+3.3V" H 8315 1173 50 0000 C CNN +F 2 "" H 8300 1000 50 0001 C CNN +F 3 "" H 8300 1000 50 0001 C CNN + 1 8300 1000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9500 2000 9600 2000 +Wire Wire Line + 9500 2750 9600 2750 +Wire Wire Line + 9500 3500 9600 3500 +Wire Wire Line + 9600 3500 9600 2750 +Connection ~ 9600 2750 +$Comp +L power:GND #PWR0106 +U 1 1 5E60CA3C +P 10500 2500 +F 0 "#PWR0106" H 10500 2250 50 0001 C CNN +F 1 "GND" H 10505 2327 50 0000 C CNN +F 2 "" H 10500 2500 50 0001 C CNN +F 3 "" H 10500 2500 50 0001 C CNN + 1 10500 2500 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74LS126 U1 +U 1 1 5E6295DE +P 5300 2000 +F 0 "U1" H 5300 2317 50 0000 C CNN +F 1 "74LVC126" H 5300 2226 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 5300 2000 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 5300 2000 50 0001 C CNN + 1 5300 2000 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74LS126 U1 +U 2 1 5E6295E4 +P 5300 2750 +F 0 "U1" H 5300 3067 50 0000 C CNN +F 1 "74LVC126" H 5300 2976 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 5300 2750 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 5300 2750 50 0001 C CNN + 2 5300 2750 + 1 0 0 -1 +$EndComp +Text GLabel 4500 2000 0 79 Input ~ 0 +OUT1 +Wire Wire Line + 5000 2000 4900 2000 +Wire Wire Line + 5000 2750 4900 2750 +Wire Wire Line + 4900 2750 4900 2000 +Connection ~ 4900 2000 +Wire Wire Line + 4900 2000 4500 2000 +Text GLabel 4500 2250 0 79 Input ~ 0 +OE1 +Wire Wire Line + 5300 3000 4600 3000 +Wire Wire Line + 4600 3000 4600 2250 +Wire Wire Line + 4500 2250 4600 2250 +Wire Wire Line + 4600 2250 5300 2250 +Connection ~ 4600 2250 +$Comp +L 74xx:74LS126 U1 +U 4 1 5E6295F6 +P 5300 1250 +F 0 "U1" H 5400 1350 50 0000 C CNN +F 1 "74LVC126" H 5400 1450 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 5300 1250 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 5300 1250 50 0001 C CNN + 4 5300 1250 + -1 0 0 1 +$EndComp +$Comp +L 74xx:74LS126 U1 +U 3 1 5E6295FC +P 5300 3500 +F 0 "U1" H 5300 3817 50 0000 C CNN +F 1 "74LVC126" H 5300 3726 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 5300 3500 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 5300 3500 50 0001 C CNN + 3 5300 3500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5000 3500 4900 3500 +Wire Wire Line + 4900 3500 4900 2750 +Connection ~ 4900 2750 +Wire Wire Line + 5300 3750 4600 3750 +Wire Wire Line + 4600 3750 4600 3000 +Connection ~ 4600 3000 +Text GLabel 4500 1250 0 79 Input ~ 0 +IN1 +Wire Wire Line + 4500 1250 5000 1250 +$Comp +L power:+3.3V #PWR0107 +U 1 1 5E62960A +P 4850 1000 +F 0 "#PWR0107" H 4850 850 50 0001 C CNN +F 1 "+3.3V" H 4865 1173 50 0000 C CNN +F 2 "" H 4850 1000 50 0001 C CNN +F 3 "" H 4850 1000 50 0001 C CNN + 1 4850 1000 + 1 0 0 -1 +$EndComp +$Comp +L Connector:Conn_Coaxial J1 +U 1 1 5E629612 +P 7000 1250 +F 0 "J1" H 7100 1179 50 0000 L CNN +F 1 "Conn_Coaxial" H 7100 1134 50 0001 L CNN +F 2 "Connector_Coaxial:SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount" H 7000 1250 50 0001 C CNN +F 3 " ~" H 7000 1250 50 0001 C CNN + 1 7000 1250 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R3 +U 1 1 5E629618 +P 5850 2000 +F 0 "R3" V 5750 2000 50 0000 C CNN +F 1 "33" V 5950 2000 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 5780 2000 50 0001 C CNN +F 3 "~" H 5850 2000 50 0001 C CNN + 1 5850 2000 + 0 1 1 0 +$EndComp +$Comp +L Device:R R4 +U 1 1 5E629620 +P 5850 2750 +F 0 "R4" V 5750 2750 50 0000 C CNN +F 1 "33" V 5950 2750 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 5780 2750 50 0001 C CNN +F 3 "~" H 5850 2750 50 0001 C CNN + 1 5850 2750 + 0 1 1 0 +$EndComp +$Comp +L Device:R R5 +U 1 1 5E629626 +P 5850 3500 +F 0 "R5" V 5750 3500 50 0000 C CNN +F 1 "33" V 5950 3500 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 5780 3500 50 0001 C CNN +F 3 "~" H 5850 3500 50 0001 C CNN + 1 5850 3500 + 0 1 1 0 +$EndComp +$Comp +L power:GND #PWR0108 +U 1 1 5E629633 +P 7000 2450 +F 0 "#PWR0108" H 7000 2200 50 0001 C CNN +F 1 "GND" H 7005 2277 50 0000 C CNN +F 2 "" H 7000 2450 50 0001 C CNN +F 3 "" H 7000 2450 50 0001 C CNN + 1 7000 2450 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R8 +U 1 1 5E629645 +P 6750 2150 +F 0 "R8" H 6800 2200 50 0000 L CNN +F 1 "50" H 6800 2100 50 0000 L CNN +F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder" V 6680 2150 50 0001 C CNN +F 3 "~" H 6750 2150 50 0001 C CNN + 1 6750 2150 + 1 0 0 -1 +$EndComp +$Comp +L power:+3.3V #PWR0109 +U 1 1 5E65CF34 +P 8000 5000 +F 0 "#PWR0109" H 8000 4850 50 0001 C CNN +F 1 "+3.3V" H 8015 5173 50 0000 C CNN +F 2 "" H 8000 5000 50 0001 C CNN +F 3 "" H 8000 5000 50 0001 C CNN + 1 8000 5000 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0110 +U 1 1 5E65D4C4 +P 8000 6200 +F 0 "#PWR0110" H 8000 5950 50 0001 C CNN +F 1 "GND" H 8005 6027 50 0000 C CNN +F 2 "" H 8000 6200 50 0001 C CNN +F 3 "" H 8000 6200 50 0001 C CNN + 1 8000 6200 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C3 +U 1 1 5E65DDA0 +P 8250 5600 +F 0 "C3" H 8365 5646 50 0000 L CNN +F 1 "1uF" H 8365 5555 50 0000 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 8288 5450 50 0001 C CNN +F 3 "~" H 8250 5600 50 0001 C CNN + 1 8250 5600 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C4 +U 1 1 5E65E58F +P 8750 5600 +F 0 "C4" H 8865 5646 50 0000 L CNN +F 1 "100nF" H 8865 5555 50 0000 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 8788 5450 50 0001 C CNN +F 3 "~" H 8750 5600 50 0001 C CNN + 1 8750 5600 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74LS126 U2 +U 5 1 5E65E90E +P 9500 5600 +F 0 "U2" H 9600 5950 50 0000 C CNN +F 1 "74LVC126" H 9500 5600 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 9500 5600 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 9500 5600 50 0001 C CNN + 5 9500 5600 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8000 5000 8000 5100 +Wire Wire Line + 8000 6200 8000 6100 +Wire Wire Line + 8000 6100 8250 6100 +Wire Wire Line + 8000 5100 8250 5100 +Wire Wire Line + 8250 5450 8250 5100 +Connection ~ 8250 5100 +Wire Wire Line + 8250 5100 8750 5100 +Wire Wire Line + 8250 5750 8250 6100 +Connection ~ 8250 6100 +Wire Wire Line + 8250 6100 8750 6100 +Wire Wire Line + 8750 5450 8750 5100 +Connection ~ 8750 5100 +Wire Wire Line + 8750 5100 9500 5100 +Wire Wire Line + 8750 5750 8750 6100 +Connection ~ 8750 6100 +Wire Wire Line + 8750 6100 9500 6100 +$Comp +L power:+3.3V #PWR0111 +U 1 1 5E69294F +P 4500 5000 +F 0 "#PWR0111" H 4500 4850 50 0001 C CNN +F 1 "+3.3V" H 4515 5173 50 0000 C CNN +F 2 "" H 4500 5000 50 0001 C CNN +F 3 "" H 4500 5000 50 0001 C CNN + 1 4500 5000 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0112 +U 1 1 5E692955 +P 4500 6200 +F 0 "#PWR0112" H 4500 5950 50 0001 C CNN +F 1 "GND" H 4505 6027 50 0000 C CNN +F 2 "" H 4500 6200 50 0001 C CNN +F 3 "" H 4500 6200 50 0001 C CNN + 1 4500 6200 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C1 +U 1 1 5E69295B +P 4750 5600 +F 0 "C1" H 4865 5646 50 0000 L CNN +F 1 "1uF" H 4865 5555 50 0000 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 4788 5450 50 0001 C CNN +F 3 "~" H 4750 5600 50 0001 C CNN + 1 4750 5600 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C2 +U 1 1 5E692961 +P 5250 5600 +F 0 "C2" H 5365 5646 50 0000 L CNN +F 1 "100nF" H 5365 5555 50 0000 L CNN +F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 5288 5450 50 0001 C CNN +F 3 "~" H 5250 5600 50 0001 C CNN + 1 5250 5600 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74LS126 U1 +U 5 1 5E692967 +P 6000 5600 +F 0 "U1" H 6100 5950 50 0000 C CNN +F 1 "74LVC126" H 6000 5600 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 6000 5600 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 6000 5600 50 0001 C CNN + 5 6000 5600 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4500 5000 4500 5100 +Wire Wire Line + 4500 6200 4500 6100 +Wire Wire Line + 4500 6100 4750 6100 +Wire Wire Line + 4500 5100 4750 5100 +Wire Wire Line + 4750 5450 4750 5100 +Connection ~ 4750 5100 +Wire Wire Line + 4750 5100 5250 5100 +Wire Wire Line + 4750 5750 4750 6100 +Connection ~ 4750 6100 +Wire Wire Line + 4750 6100 5250 6100 +Wire Wire Line + 5250 5450 5250 5100 +Connection ~ 5250 5100 +Wire Wire Line + 5250 5100 6000 5100 +Wire Wire Line + 5250 5750 5250 6100 +Connection ~ 5250 6100 +Wire Wire Line + 5250 6100 6000 6100 +$Comp +L power:PWR_FLAG #FLG0101 +U 1 1 5E6BCDB8 +P 2850 1850 +F 0 "#FLG0101" H 2850 1925 50 0001 C CNN +F 1 "PWR_FLAG" H 3100 1950 50 0000 C CNN +F 2 "" H 2850 1850 50 0001 C CNN +F 3 "~" H 2850 1850 50 0001 C CNN + 1 2850 1850 + 1 0 0 -1 +$EndComp +$Comp +L power:PWR_FLAG #FLG0102 +U 1 1 5E6BFEC1 +P 2600 2200 +F 0 "#FLG0102" H 2600 2275 50 0001 C CNN +F 1 "PWR_FLAG" H 2750 2350 50 0000 C CNN +F 2 "" H 2600 2200 50 0001 C CNN +F 3 "~" H 2600 2200 50 0001 C CNN + 1 2600 2200 + 1 0 0 1 +$EndComp +Wire Wire Line + 8800 1000 8300 1000 +$Comp +L Device:R R9 +U 1 1 5E615CAC +P 8800 3950 +F 0 "R9" V 8900 3950 50 0000 C CNN +F 1 "10k" V 8684 3950 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 8730 3950 50 0001 C CNN +F 3 "~" H 8800 3950 50 0001 C CNN + 1 8800 3950 + 0 -1 -1 0 +$EndComp +Text GLabel 8000 2250 0 79 Input ~ 0 +OE2 +Text GLabel 8000 2000 0 79 Input ~ 0 +OUT2 +$Comp +L Device:R R10 +U 1 1 5E638303 +P 8800 4300 +F 0 "R10" V 8900 4300 50 0000 C CNN +F 1 "10k" V 8684 4300 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 8730 4300 50 0001 C CNN +F 3 "~" H 8800 4300 50 0001 C CNN + 1 8800 4300 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 8400 3500 8400 3950 +Wire Wire Line + 8400 3950 8650 3950 +Connection ~ 8400 3500 +Wire Wire Line + 8650 4300 8100 4300 +Wire Wire Line + 8100 4300 8100 3750 +Connection ~ 8100 3750 +$Comp +L power:GND #PWR0113 +U 1 1 5E6706B4 +P 9250 4400 +F 0 "#PWR0113" H 9250 4150 50 0001 C CNN +F 1 "GND" H 9255 4227 50 0000 C CNN +F 2 "" H 9250 4400 50 0001 C CNN +F 3 "" H 9250 4400 50 0001 C CNN + 1 9250 4400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8950 3950 9250 3950 +Wire Wire Line + 9250 3950 9250 4300 +Wire Wire Line + 8950 4300 9250 4300 +Connection ~ 9250 4300 +Wire Wire Line + 9250 4300 9250 4400 +Wire Wire Line + 5300 1000 4850 1000 +$Comp +L Device:R R1 +U 1 1 5E696119 +P 5300 3950 +F 0 "R1" V 5400 3950 50 0000 C CNN +F 1 "10k" V 5184 3950 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 5230 3950 50 0001 C CNN +F 3 "~" H 5300 3950 50 0001 C CNN + 1 5300 3950 + 0 -1 -1 0 +$EndComp +$Comp +L Device:R R2 +U 1 1 5E69611F +P 5300 4300 +F 0 "R2" V 5400 4300 50 0000 C CNN +F 1 "10k" V 5184 4300 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 5230 4300 50 0001 C CNN +F 3 "~" H 5300 4300 50 0001 C CNN + 1 5300 4300 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 4900 3950 5150 3950 +Wire Wire Line + 5150 4300 4600 4300 +$Comp +L power:GND #PWR0114 +U 1 1 5E696129 +P 5750 4400 +F 0 "#PWR0114" H 5750 4150 50 0001 C CNN +F 1 "GND" H 5755 4227 50 0000 C CNN +F 2 "" H 5750 4400 50 0001 C CNN +F 3 "" H 5750 4400 50 0001 C CNN + 1 5750 4400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5450 3950 5750 3950 +Wire Wire Line + 5750 3950 5750 4300 +Wire Wire Line + 5450 4300 5750 4300 +Connection ~ 5750 4300 +Wire Wire Line + 5750 4300 5750 4400 +Wire Wire Line + 4900 3950 4900 3500 +Connection ~ 4900 3500 +Wire Wire Line + 4600 4300 4600 3750 +Connection ~ 4600 3750 +Wire Wire Line + 2350 1850 2850 1850 +Wire Wire Line + 2850 1850 3000 1850 +Connection ~ 2850 1850 +Connection ~ 2600 2200 +Wire Wire Line + 2600 2200 2750 2200 +Wire Wire Line + 2400 2200 2600 2200 +Wire Wire Line + 1850 1850 1250 1850 +Wire Wire Line + 1500 2200 1800 2200 +$Comp +L Connector:Conn_Coaxial J2 +U 1 1 5E60806F +P 10500 1250 +F 0 "J2" H 10600 1179 50 0000 L CNN +F 1 "Conn_Coaxial" H 10600 1134 50 0001 L CNN +F 2 "Connector_Coaxial:SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount" H 10500 1250 50 0001 C CNN +F 3 " ~" H 10500 1250 50 0001 C CNN + 1 10500 1250 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R7 +U 1 1 5E7810C1 +P 6750 1550 +F 0 "R7" V 6650 1550 50 0000 C CNN +F 1 "10k" V 6850 1550 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 6680 1550 50 0001 C CNN +F 3 "~" H 6750 1550 50 0001 C CNN + 1 6750 1550 + 0 1 1 0 +$EndComp +$Comp +L 74xx:74LS126 U2 +U 3 1 5E6010CF +P 8800 3500 +F 0 "U2" H 8800 3817 50 0000 C CNN +F 1 "74LVC126" H 8800 3726 50 0000 C CNN +F 2 "Package_SO:SOIC-14_3.9x8.7mm_P1.27mm" H 8800 3500 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS126" H 8800 3500 50 0001 C CNN + 3 8800 3500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9100 2000 9200 2000 +$Comp +L Device:R R13 +U 1 1 5E60A55C +P 9350 3500 +F 0 "R13" V 9250 3500 50 0000 C CNN +F 1 "33" V 9450 3500 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 9280 3500 50 0001 C CNN +F 3 "~" H 9350 3500 50 0001 C CNN + 1 9350 3500 + 0 1 1 0 +$EndComp +$Comp +L Device:R R12 +U 1 1 5E60A204 +P 9350 2750 +F 0 "R12" V 9250 2750 50 0000 C CNN +F 1 "33" V 9450 2750 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 9280 2750 50 0001 C CNN +F 3 "~" H 9350 2750 50 0001 C CNN + 1 9350 2750 + 0 1 1 0 +$EndComp +$Comp +L Device:R R11 +U 1 1 5E6087B4 +P 9350 2000 +F 0 "R11" V 9250 2000 50 0000 C CNN +F 1 "33" V 9450 2000 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 9280 2000 50 0001 C CNN +F 3 "~" H 9350 2000 50 0001 C CNN + 1 9350 2000 + 0 1 1 0 +$EndComp +Wire Wire Line + 9100 2750 9200 2750 +Wire Wire Line + 9100 3500 9200 3500 +$Comp +L Device:R R14 +U 1 1 5EF7A2DB +P 9600 1550 +F 0 "R14" H 9400 1500 50 0000 L CNN +F 1 "33" H 9450 1600 50 0000 L CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 9530 1550 50 0001 C CNN +F 3 "~" H 9600 1550 50 0001 C CNN + 1 9600 1550 + -1 0 0 1 +$EndComp +Wire Wire Line + 10300 1250 10000 1250 +Connection ~ 10000 1250 +Wire Wire Line + 10450 2000 10500 2000 +Wire Wire Line + 5600 2000 5700 2000 +Wire Wire Line + 5600 2750 5700 2750 +Wire Wire Line + 5600 3500 5700 3500 +Wire Wire Line + 6000 3500 6100 3500 +Wire Wire Line + 6100 3500 6100 2750 +Wire Wire Line + 6000 2000 6100 2000 +Wire Wire Line + 6000 2750 6100 2750 +Connection ~ 6100 2750 +Wire Wire Line + 5600 1250 6100 1250 +Connection ~ 6500 1250 +Wire Wire Line + 6500 1250 6800 1250 +Wire Wire Line + 10500 2000 10500 2400 +Connection ~ 10500 2000 +$Comp +L Device:R R6 +U 1 1 5F0E1442 +P 6100 1550 +F 0 "R6" H 6150 1600 50 0000 L CNN +F 1 "33" H 6150 1500 50 0000 L CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 6030 1550 50 0001 C CNN +F 3 "~" H 6100 1550 50 0001 C CNN + 1 6100 1550 + 1 0 0 -1 +$EndComp +$Comp +L Jumper:Jumper_3_Open JP2 +U 1 1 5F1ABB3C +P 10000 1850 +F 0 "JP2" H 10000 1982 50 0000 C CNN +F 1 "Jumper_3_Open" H 10000 2072 50 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 10000 1850 50 0001 C CNN +F 3 "~" H 10000 1850 50 0001 C CNN + 1 10000 1850 + 1 0 0 1 +$EndComp +Wire Wire Line + 10250 2300 10250 2400 +Wire Wire Line + 10250 2400 10500 2400 +Connection ~ 10500 2400 +Wire Wire Line + 10500 2400 10500 2500 +Connection ~ 9600 2000 +Wire Wire Line + 9600 2000 9600 2750 +Wire Wire Line + 9750 1850 9600 1850 +Wire Wire Line + 9600 1850 9600 2000 +Wire Wire Line + 10250 2000 10250 1850 +Wire Wire Line + 10000 1250 10000 1550 +Wire Wire Line + 10500 1450 10500 1550 +$Comp +L Device:R R15 +U 1 1 5E73F947 +P 10250 1550 +F 0 "R15" V 10150 1550 50 0000 C CNN +F 1 "10k" V 10350 1550 50 0000 C CNN +F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 10180 1550 50 0001 C CNN +F 3 "~" H 10250 1550 50 0001 C CNN + 1 10250 1550 + 0 1 1 0 +$EndComp +$Comp +L Device:R R16 +U 1 1 5E76DD7C +P 10250 2150 +F 0 "R16" H 10300 2200 50 0000 L CNN +F 1 "50" H 10300 2100 50 0000 L CNN +F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder" V 10180 2150 50 0001 C CNN +F 3 "~" H 10250 2150 50 0001 C CNN + 1 10250 2150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10100 1550 10000 1550 +Connection ~ 10000 1550 +Wire Wire Line + 10000 1550 10000 1700 +Wire Wire Line + 10400 1550 10500 1550 +Connection ~ 10500 1550 +Wire Wire Line + 10500 1550 10500 2000 +Wire Wire Line + 9100 1250 9600 1250 +Wire Wire Line + 9600 1700 9600 1850 +Connection ~ 9600 1850 +Wire Wire Line + 9600 1400 9600 1250 +Connection ~ 9600 1250 +Wire Wire Line + 9600 1250 10000 1250 +Wire Wire Line + 6500 1250 6500 1550 +Wire Wire Line + 7000 1450 7000 1550 +Wire Wire Line + 6900 1550 7000 1550 +Connection ~ 7000 1550 +Wire Wire Line + 6600 1550 6500 1550 +$Comp +L Jumper:Jumper_3_Open JP1 +U 1 1 5F2BA64E +P 6500 1850 +F 0 "JP1" H 6500 1982 50 0000 C CNN +F 1 "Jumper_3_Open" H 6500 2072 50 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 6500 1850 50 0001 C CNN +F 3 "~" H 6500 1850 50 0001 C CNN + 1 6500 1850 + 1 0 0 1 +$EndComp +Wire Wire Line + 6100 2000 6100 2750 +Wire Wire Line + 6100 1400 6100 1250 +Connection ~ 6100 1250 +Wire Wire Line + 6100 1250 6500 1250 +Wire Wire Line + 6100 1700 6100 1850 +Connection ~ 6100 2000 +Wire Wire Line + 6250 1850 6100 1850 +Connection ~ 6100 1850 +Wire Wire Line + 6100 1850 6100 2000 +Wire Wire Line + 6500 1700 6500 1550 +Connection ~ 6500 1550 +Wire Wire Line + 6750 2000 6750 1850 +Wire Wire Line + 6750 2300 6750 2400 +Wire Wire Line + 6750 2400 7000 2400 +Connection ~ 7000 2400 +Wire Wire Line + 7000 2400 7000 2450 +Wire Wire Line + 7000 1550 7000 2400 +$EndSCHEMATC diff --git a/sma2_plug_v2/design/pcb.pdf b/sma2_plug_v2/design/pcb.pdf new file mode 100644 index 0000000000000000000000000000000000000000..b98ffe7095d34ad71612dbfad00f59081a41f1fd GIT binary patch literal 39627 zcmV)=K!m>~P((&8F)lX>3N#=vAa7!73OqatFGgu>bY*fNFGg%(bY(KIW-DCJ_>Vma%Ev{3V59DolB17I+CvExr!V&-~oxEC}{-+G;jyEdkF<} z9bAMDZclpqExAi(pNS@aQgI?PRX_o8{*=@BuosO+WA)Ls)%d@k)kjs?KTi3-wL|;S zgz>NL=ReOs{{N49`G@?E|NhsHyvg&A5MujLhpMhSg+C2kw|)1~j#R?*FY=Lv|N8M? zKmPC7gX$ynp=leZ|NrriAN9|FAOHXVe$)c~pYazdRzLseM@s$2kjCc1&lIHP^Z0Go zebn_Z3@!iR)BHmh`(+|N*^4B^U|zKlQJvhkg9$$1mdm{L|oFn{;;d zBLlzRzj4uGGd4W@)W7TuDt==E^`pY`7ee~zrsitXLBO29w`ZenKl+JAGSmQq zHt9lc)akE}%hUGNN1ZNzp5(93>knoy%78wGkb&Vkbo=x0(I!i0!n!bH__1I^_S^a+ zEx$d<{6*)-z#gC6cATHf3bt6w;*;BaRB=5K^+$|zUxu)rMT5=b3m=t^x$kEzF6{{o z`A1-p3o!q)KZhx<`x13gs*BB9V@>v38D_R7Z>Y5@h%gH8oDE!1^t-Aae>*w~!^9V} z1)8Xh>^)NJnxAo=pfFPFs!_(LPlACTXTyFN*?dwG&7N#{k~IY%o&H9qFze7jTq`uD70aQPluf zdSZ?FPvc8>ZCJFtai$Iak~h64Gal~r7rN8CE@U}>I^?eN;ZJ`x7xQ7XfDIdS?4Rq zZOjDejlcm;!i_2B5p+>wOp3-Fo5S#u2ALF%Nj3=#C5`gf@NnsWO4cloT^V^~q|>Id zhWSFV84YCLTGM=S;wQ_QYHOS?U72{a9bPrhV-tEz^DPXtsAdR!SUlpxK<{Co$wxbz z=w?xgG|@R5aO+4D9rFF8s&1NS+2~MBKWVs^7Ode`bDr{!tqgY*lqPye8TzJ)zNn6= zJ8k?0jdU;41bf`)BDR<5ePo8awv!NC6PA$5juYH25hCb6g6b=_s#=sgu@uVQQN>1h zdgS9KWuO<#r4FOe^;Om-$R`x~155Enj5M_i+hc*w1`jwsneZh&FGxz`gkKr9zT)$r z6W%P@kt;k%*q-e?!CJQGb3k_w<(s@{+>mQz@3h3|S*qj(wI|`~Ijf#kQFX($)^N^* zDgW=YNGD-&UBZb)24xW}?fdk4S>wt5zMjOL4ca^_g;cXARFGRG9U1;>79Z>G_*z4_0U+s3qf z*JY8t)~w3BlK8Q@X`rH85qm;5J8aaH0%Cyr^V+m28-1RE=KB9le;ipxyNaRaqTCb1 zs&_y~3}tU)#4s$hA%=nt*=EU#VY6^co%#1KiQz~?)$pET7_*u1#ZdMT5Sz4g`UNqZ ze`~}r^y>-p#ZaWHlo$$`*TfL#S4G9}^|kJia@a^}+IhsqL<4_{G3y|%@E0>C!c;FX zW|!9MCfEy%DSdg3F~yL)#+dW3EMv~#tBjd%P2aN*eLYA5(~gXC@*++C&IUVeX7|LU z;}M{w**WKk(ffI8Hs2v%U+K~YCwMFa*2?`n)w?`lNnHP;^IvGzl0VXp|IXJ^)Y1 z^rY84Ogr&lQ>kgt*>$QOX$!O;ZE@cnHex`oow8A|oi>seMeNeVA&Lan9%W1{l2W4q!_4bEh5yf*u z^w<)j<*#4DR}P%~Oq0IKQP)d3A?1Fj!>JP2ndD|AX0faEC_HAn@OS97uHRw$A^4}k z$#!g~q+irko%#(yZ(??pYA|KqPy4;;cjqws=SKV6?K}|`uRY!VRaq)awv)+H2?D;E zr6TP9c9x2smw5+0)$(CJ@&c??CpqFbtX1o|r(qR-Pu8mSa`NW)WUY;M-XBk|A}e`k z<9I@?B3`f0S>L1QZIQ-xrpv4t_MZD)U-ZL^hJeJ@)^*!f%E zt9)&=^MuKYC|mLCd4w7?rAN4+zpO_Xb#G_bmpG;eSBuTwZYtCvAvCai7dtlsGvRZ5 z{KY+@>EW*I^msDmm+le2ESa*^AfaIq;IHiwP0L@ugsw(#s}$_DM_l~VM>Il;8G1t_ zJeH0Omqc=;cZ>y^xE&9yST3O!W~T@&I}Xk&XG-ICirNU>(n2y-B^GMkva2vX>&yx) zqv)NZ>r=1M%V$;qdB7qQ2E|ZZRO}>-*Divew)?vgK+h?`{{GMBfuF|d7*7w{xY_wA%l~kFH5g=#&I^daZx+1pVi3j@rui-TF?1w=*txB_n=!1v+l#jx)08lY{GlTJbrGp zzunF=Nx4yz%y-pC?zIzFA4!Fv*Yr`H{d@;~RA)I_PwAsJ|M|8)dTbq&YK;9XyKC58 zyY8BGz3m38?izL1rt8mP(p`)08uVxF`8)L!p^q5?nVub3^g;DX@vd(0&e?aUB-du{ zgO}(CVHFG&;#!RWH_LaO27ud40tJHvdbbXQh6z23RCO6^8Z37vJSi`kW1^wGRgQ`N z!(#-ikzkb(97S=eqB-ynV zjiYGytjdJh$?M?x<+HWIj+3x~@VA1f?y5gG1*Y}n3}s)cd_$;Jbc}&l{{Y*(g@cTL zFpq=Ior00PrWN&&n7I)0E@jzVm&Lm48Nxi<@c}Y)+tjK^*)ZO96!HlRgQ!z z>9pZ#kQ!>nAe-;WGDFR+v;RHp_f|V4$3vf*bktH zaK6;j$35iLQyA~i>u9xA1rJbhiHV@KRJ$lzU1i*S%7%u8j)0e?2NjvLaOLz4f$(*u zXjaigiCqtd*q)u?zC&~^pc&YXJ==g!*?FvM zWdcJH|3H0k`3E_*#_6;T&h>^n5Q7_vcHZQ2qTq3jgsixvrj(!<;)eP}M5^oph2Unb znSZbg6oUE?W`U4eZWjohFS$TGE2>Ov5NAbI!N_q!=0q(X-L%8g3t8C zA;Y97y}pt>D?_iZWTNY4oz(meJ!K3tpQML+kmh@C3wm`MH^0X`ZMF0Mc+@!PJWbfL!P)?D6BdGd?#{do1-@n28&DK3rWA$ezU9-|jwHXdb? zBVBb=Sv~xz?CP5=GeE`mT8(DfN#+aqdHH_XQ5lxT4tV{2-u`ww?~g}MsYY+Yj7L;{ zWdAVE7C}Mwd-Z+Z{&qW0B-7wh=8hucRTo2kE&}X%(v4g>;}$I9ws`G!06wUp;`Xq(AP3`^QiJwNQUpE^u{E!yl$3Gc*d z!i>=Oyo5>p%}b4S!ZVJ+x98Jk$J}oz&~IEW)P78t8auV~>A5FXZqo}?LOM?Y{(~8} z5ycl?utl^Fp3n}$tnYT@FPqq-F)dyKFy%jw8J!7}aw=lZgw1Q7H_Z*&dlED2Cnw!5 zy^@|Z?Wl6T-%nLCZ&URZKvj1}4=h>;gQOm)bjtOmjv-}jMk-r9W9+k>MC(I1p{ln9 zsnz(r5u~=}f@u6zAFVYG=7fuY72IfbExZbB6ee60Ur9yQw(iDc!u@f#8}pQ$d7bdi z-9St@HV|k>TrA^ly*PC>`OnRp_KwnJwVmJa)izj>;XQ)v{J!xbv)OW&U1673nzLCmT&(byQom<#&}ONtqE!Q3!%1VNblM1HvhTke`BWQVahvZ zdT!8~>Dg^CW_o6{%uK(N&Y`-lLM0Ct=c@`#-%wMnJ@haNjPLCZ4cdt_Q^JoLu*GWA>S6dg~6v>A@4)-xsZJyfb0&(`-9(q=Z= zc_tpL5s4i|#!E5qG7P)~12@ZzAdGY+!L87*$(+pNp}hyz!s>464CJ0F=*W+eG$)Mg z<}kSF4)5pXXr2>wv%w46Hq)*!@Rgp&z!NSu0{vjYKZ|RC!M39ZQ4|D&$CCL@9=&V6 z)3SfI{nLGy9Z&17!-R|CFzsmmmK|qHTU&0NNKP>PL!AAnHN0l}jq5UYoKScM*Ml*( z^GqQ`)AO%Rp>=(Mxcd16zQ7}8fVy03JzXmHu_ z(gV(MYZAVlCrzd{{p*^B8x(_k8=ciSt77Y}HTG8H1m1q2s-vE=t1EKF#V<=JrK5>) zMm@0Vrp2|`0NZ)yAP+r{-{XqRof>g`oBnHS#C=`W$ckd1)(Ry)i(0Fw#~+5RW9PW7 z*J_lW8~#jbLUCmG^?|;@P3hXHr1H1;KsbbBv}nSYtUsef?f1I+t!9_r_+BPwtDX19 z)4Ksv;AM?!3so63^=j1Wl*)4BW4n|}jysgQbj3qTGoQpu2064{6TqJiMdv}8fV}x0 zfp^r|-2R?*={DMVt*+#EF`9R76qPla-?G$l=lO_di!;X3=?kbP#xmk=j0t5WEnYFk z_DYP!_WY~QTijC1ZUMn(N-aCb9e;nR{X4R$7}c9==YA- zN)!r(qoQtfAL)>kbEEs#iNc;O{#ww-w!B}^Cy5h`pih^_5&fV~dn@z$PS9um?cJbH z{?@bf`k|oDV|5>c6UO=0h137OP4SmWGwz-n<*oRu%9|~JkC5t(h&%?fy5vIdkUd0BeIS2s~5$*V$gS}%zMc;xewH*_toHKS}h9Dn`F zbuT25?J0EoCy}u(`aLgKD%l#lm_Omal|%;Ndoqdau_PrqjoEogipBciyexF{H{cq2TZNP_yWvQa3*dj3r#T?zryuUxCcY+Cx=O4M5_rd8_;ewTmrBlsuHaIm~QF?OtbY_9b36~{8p-H3J zCVbJz7MCN4RXgg5B-U&No{#grRo~oplyo-8Wtk@!acrj0H@~-@7mhQ z7~?tr;JxH#QPMC*#7i2ZsVs|U{D^lI-_q9zeP_zG7!#n69oOEr;qx+{#Yow9%s30a zO|L;SGfv<|=auweEG@OG)5z<1OhJ^5Hand9{z+cfkD-H*Sz+*5v!?2 zeAyox%{Jo8;bGqI$4V|qxpml(9UtqqvGIBVHQrr!JRsU9QT^c+1e&Vp6 zyBKLhKa8Kl{MqLxH>`!6Yr~4bi?W;++)8P{#qTtz>pHwr ziD62nT~5Ze$HiF=D!W?N{7xkXM2q6mX*}2^DLQ?YqGMiVo@ulUG|hc!8B(gMHKq;< zpTd@3Tg5$pK+P{8O$R2T&k31pH|tp}lh6yRt!xgwDBH4KS`MUX6tx^^*Nl(!N{+AZ zobdHEV;1KUsvdxs?Z==yTdD^=g??2%4DDC!5RnUp5Oat*)@5qMb?01fj&<^!hnbCf z>GHh=Usjf_r8;M3Yob9;ya(upo#$~p@E)N0dv3krI%HkC{~lnI`=CDHEi(BLQ~#&+puhOmU5Um)ntj?J0)y8rM~> zr8H{l@D@bRU}spr@$%5>BTRRkj1{XT_4OxXy;h0%J5I(Vd~o+zL{lIc4!c)JugPhB z#cBRqDUf|5=~}fYa+{MZ7FR!Coi>og{M($)3UA)#yi+kN#9}PsZ&rF6%9Ufn2^YJH<4NML9Xs_= zw=0Lm{*^6u8y%N^N7*$u_gvTe#5&qT%2B4nbD|^^d0*?}(oUa)S~cCw{*cpG5MAXB zx0tu-Z9TxZ`ScY~9I0_nzlfKNX7ou)KdtB~ZfqHYqqJpO)8E>x6g7dz;Ko*>9b+q3 zTa?uh$F8=4MQ57^N&x{?~?fseOEa?$=L6%aq~Ie zr{|p;oegg0sng_9Gf!P`qk!yp*?DR;{oTxy;$8Df6wtIRlCkTJ-`dlMkC|-$#_y2D z5TS|h-1u#>&OCnO_Z72>TZZ4lKe|z|@&W%SNPP7d%=L9Pi*L(mADQ+eZvprE%&65P z_IuSM_LO1kd&%$HYNzCQR6E%5&~C22S3Azrk?rq=2e;aJdpv_oPEyxm8V@!~p=E?G2b6XjeL(xXcj2ZrHwK2W3H%!fYuoZ()$eQ0qPpSGkmVb*fvY@@C(k|&3@ zR~z+G^5l?AX41Df9@9c`JRWl^+8xJZ4=u@%l3Oi@cu^nBJ$^YG+{jHbTTmJIph9is zGIbjMTH*O2z^5H&Ngu2WPPp=X!SmbmV?VlDqvklCA^uvvLh5w0r58fJ{<53;2kekUn zlWS*miaAbo{&Ngf33*S=?5wgAPA1yKx1(`l5nigDYg?W}SKqfbvUjrrM|U@BBYXGs zzDsRneV^Da?|?*ts+^^oszfao=@EJpF42X0i7s4miGI|mQ-|^7Y+0s`rS{L(vDERD zrPp>ERbhS1HsPJ=L4&X=OxOCDi-*Ggu|`(eers^n&XeMM(bLVz+0>nEqrPNhzHeE- zQl7`#{yw6*@kTrES5nfFSCkLpNoup0XPAwAOgQ95Z{t?D-Us=>v(PIXmcM?jf)jc! z|NO0AJSZCs|CTQvRLBp6m=Y(umn;xw=_#(I0w%W8YCBYC6TU<$sYa&9PnN)h#apT! zw1aV`g7388?9qsC?U}tY^hE7L{TZAOn$@)A?EG_Q?1L=1!&RPTt>4Gm3jtk$Uq(m$j@uDU6*N${kogkKJGD| z&>+?~kvM$>{-=05+{)p#`?dgtO!ojjKL<}tK)_DldU0-IL)Uak5MDlG~`jM zufJ%>dB(MC4A6=*;Qb3$`32I!v9>=6Tud1UmfVt`%S_`3z*MZH?Ki{J-qe$i{6 z@Z~twc=@dfikN4nyu_+IgndT>BLQvK2LRA8wATpMUOo)j!1yCHoh<>dyz74Xg4&oq`7- zD|%Y57WLmO>kU$hz_3^^jc53h9d6p`<;j1`^1zFZb1;!66+P5nQ?v7wX!M=q%I;eE z6Axc%<eqUESelIuQb#VCRZ1BD4nf0wTk&rt<^F4Na zeXaEwHowQtajTsYB^L8EhqJ*`4LL$T@x56G znBcAN%{ut)yg!~^6Wa|RD_-(kd1_bmVy{oT_n~t2&fD~Y@vgyV7++uT+&s#?{Vp_k zCkS{WPa_pbB-VTTh92?gBrdmgmtyxb|6`Imz~dP7dZK9!)pB$FFU?1dV7s;Yd*`4Px-~r174IK_;8C} z(fI^Dvwm(r%FgE&y^`}8>$G$}G}q|IMc!j8wH$yKrZ>9!lgl!V&+kV8dKgEl^%;HA zQ@kv_t@$iU&mG5Vd_n#3cj-0L^ZVUp(a`SM;JU7b$G1VR$)cXY4K`(6!1@ewdDlzGKcB;*cd3-8w z7JRQwN~wOzoKi*W;PiB`Yw?Cacf8@1#o6LbLB+{-!y?mHdLo|nq8mOhxF}jE zvY=@P87_**v;#aF&qKW2CC7vo=jD^f%qNeVPaZp;Tz)pFUq@fE!c594%ZF&%{E%fy zlTWu8y>U-?9q~E2lJ?9>$>Gkgpz6zMoktb;H_$p`XD6>ZuG|*l)hihjtCkNlUsdm! z`8O6hwYsOGX(3+Hv`j^6t{aUNJ>ZR={ZF`<5bS*>+%pwH-=@g$In8I)jv41{^-7FW zh_A*8yy(25U7Bp$4>h%^aa@Wk?waxxxNc}C==y|ZNmh8takhgqe`kxorj%^UU#pac z%$wLl0cmxyy|)x?N2xlDd-d&%d)scvQS0cf!t}yo{jc<9_JH2{yx^B>1aYNrMtt%b zhgHlZ%!wwKcCvmy#Itc&Li(@-U$hO*^W^W`fWG}Ki&6-rO3=J?;tV@m$3GUs%48t;k_Pv=q_izlgs8O-mU6V-mcAvu5;<3 z!jG{SQ_DfvY7v1OW~#Zp2J-aUQ#eo`8`59`K9=i zcPst`HOz+%XV8t2xYb_@c}P6zR>$hJq%ayX2Qi^+R!YfvW@HnE>Oo+_N|u|jkY3-nl^aaif@ zD+mB%?)(@NG5?5MfFet)g?(f~n;;vkFr?+s{q}_Z_rJC#t;r8q+zQUvdZ8MUNPo=i zDK5&v#)d2~;9=;E*TanhN6z|t*7&a<|F!E}-$~>+)?vn;;rMD%A$xJWOcqs#fUHI& zZlD9g*vPMaEM`8b8{n$F+Dz6=<*w=p>s;kdvIRvw1?`c17#z-l-5b)HG_d^S#za_! z!+0#)WFXeiE5g=f5fX!mSmYw$V|{g_gNQ2Ow}V4BkD~f@`dsmm!O4fuX{_gErJ%+- zR`L&&jCgZvVkLX1CT5&=$+nP~fGY*m%7U6sviS?lmT zU+-ZZ4xT@F{;M=Oaw+7WU)AKomeLbH1m>2Tx#tMcf62ke&to&Obm6v;c_kiZrquI51oM^% z-&NUfpHqv^FGxYPcr4cIhi2ncT$1_ag6DM25w#A%;&V-EeYEf~4^&w@zReB%$tHxj zm6zuM+1Gs+Fd7eG`F%8|WTCb7)@Q&N=AIi==LRjj&YjlQMpf~A@x?Rmvl29P#Fs@weA>Df9MkbzF_e4L}ee%WBH>EDfAALFD)t8tvwk?F3zxyK1Q-tvD%StL9 zGp~bIFpzaBKFg{pT6o{8t1Rl&9fl5c2hYmGCzc-eUMA*~b=0E0t3@BHKK!y^1r;D8 zSV8V0!MJ_?;Gud~X?hcJ3gX-S(-MFoby^yNcu~a`Dp53s9&kHuse@?esg_GMrhgMY zf3(7T8UnO~aaK1 z=bv8jc@QhSRlW-A(jpJ3683yQcg%}Q_>W)Qc=njCnEM!}`xd7D+lSTJQfX5B@QP;^3uy0c&~uhkv0?uP*Cb|~ucP8YH^d8-3p zz|SRRUZ32O&eP`r*rUyP<|B9wU=y;RM{kzs^2i6=a|zgb^VypD6fovvr*{TWIYfSC z!TIkNr*dg=tSmS$O~S%;%PP2Fj|oYOL|brfv&Sq6Q46N!OHSR8Zh=F}Cd35?Z{`PY z<_9y$^FRj7r%FeK86g^S78_Bry`r5XV71}#B@$hOb%kf@fLI1mvgFc2Ff+4IYs}Ov zarnl}%`&#eOiooT=m34xM-QE4v5uHC(MYRrm-lWhTE)B%suCLrjHnnhHZcixFbVlF zdf$fLL+g;wj-C~4q0ZxwW-W;7y6N#pFh@MgT96;qh}4ctQ`gRwBJy74v2>-(4_hi& zp0qZY`v~)gy*HxX_zd$zbmrZ}c}6EfwonQxIB3|X*F89*cnGb`<338XIrpAwp-#m9zh9Mo_s zfEE4o#R~(EDG2qZdI2)2Dg;78y&}`7iqwFrtz;1*L`(>^o|Mb%*NyUt@R0>WuIdtW z55Q&Wp#D1Oxu9UmyVPMqr1QOu9qNIg*aiJLR7NMd7t0~i#)Tso^~=Tk1~U-MhY1@B zELH0c4V(?ZFd1plEJHRzAH$qAA;&~1iI|8a7);y~neIXTm>h%dk@gHGPaF%M^s0J* ziSvm0OSiCmPN8Oig=~#l&U2HgH(6k~yuu#vjRkASaC3Wj9a8$zkO$|VU~k{c`I4$Z zV8WHXlU4>y=3e9xyPl*6Nx~aRV;4{wa%)QFrFEXfj!yOhp6$;c7B}&cWp8W>w&@kW zyo%e)$GXq&WZyd0J$AXPHjiEIYV5}@Hv^Ad?y<|A(PNie9lP8W^<$S?9lP8sc$qlk+~fM? zgWR}S?q0eOkazgxDusK8FE1nH->>ircHmxL$hree2BW?#uaL)#<&c!!SL^=y7!t(2 zyPIColDxW!ml)&S*72$bco%rQaR7_`dQMG3j$n80G0y$7cNX`!y!(PMz7}vg1hqN@ z^$^roZKkGezHc*c-*mitk3PSwbMhqbDLHvmB%Zu4_})Erh>l=zfx{b?!{?=JkGsZ)1RfIj`$*suWj%*VZ-osz zmM@o;@<#2=j+atjE5B+Tf8^N*@eEQH;p9C=f!J!`SInQT~a$sB(y z`~I;93!s=SjZODHesrXYpNEUklDgE*vHV9d{bHo%lm z$sMzSS;{eU%RM^#FXidnlAL_=QZ2uJE(7Ixu6n@-Iyi0ye@~8k?(WX~XUVFFGzWPI z;p9h(mVOj3g66rgc@ea`u5F$R^-jdgb9c#FOe@Ic(syIMXpL9zqljzUSL>O+Mt`$R;vocw5bQ4m&qOgRxintzi%v>zzS(atzsoSOfKEW@t&*U=&<_q9L-=wx=uu%lZIrmXeTd_Nw*xz1 z<2&{GhRja|c2iFMqEk)Cu{{ja3sU*!5nzR7I3!lVLt_6}iG42agXi97bpJZ?9w*In znE6X4~o!e{fg^*3eGi?8OMj~^@vw*nrR zZ(R6@nY4Iyz5Ij8E?a3xRaLF~=SyT>fA{Wz`;8!tA9qepd>Z|$sx|hU4SHU73+8nk za(&44A=eMe^)t~#Ev&dxPNW-2&*KAkViSgA=H@-@r94{E4moDHGR94;R3P}dpwsh< zw`=|SV_~ZzGAR{K@tXUKIVoO`^-vl;&OU9CV;}st?8hgpJ^496(?RrjT z<=sQ}DAe-}<;f&UF4Vp3$&+{FW=G_XT|u-tw{$Xl;RQ5jfcors9);Wj^XuO}xKVjO z2Vd4o&$I7K$u9?MAFTa8Yd@oxrR?=y(ThB%_O6}PpL^abHy?Gr`LGt*J@FCn(G)YY zhWXZIm%Zr=D^t)BI#x}v=Wa01Md9~4fr;K63g`s0_l|xhzy=nD($SwZUdEdSV|vvG*%vrgv3i4dh={L@t2-WpIVrk zJBp9|e)7`khEZ8%a@YoW-&OGv^z_|aOAqhqrt6Az)0B_6yg!@to!O~>7cmMK&rsf_ zWxsW3W$mApul|O7m9c+@9`TptxoM+ZYioP|EPvhWtq>qyF{u`gR8U0+f8{?>=;uFi z7Tst$;#mafE6I~8b0gzVpNf-|n}obCsP>*e9g}}9$T$XPh)m4 z|LvuP9{R=m|AV>x%}My>Ri0YZKbQ&LoP=MJ38q0Wf5!Qdf%diW>pGepi>3}Y!2$Do z@+WfN5V_LiCcnxYj_0=(fB(V+|gcm+v4NMZ_gK=lj06+!Ucg;_`j%&`>S= zx)(+0%S?_VEq7($Z#54dzu%F8$J9PBPsP8W_HXi{^m!L`9^|+t3}JZ%`VZQ&lpFuA zyKm(r^vx3a|1+83&GG-jOwcsTK~L!97~~IPf^y^kJv6}|$pq!b|9fbHBsr~rrY3lE z{J)1L_#>I1-1vVFP0+@-cB;R;CU|rFzlSFHBblJw_9-82f zWP)}Bt0{=94KWMfn+adm{JpPd(t8(N2h4oPv<+91! z2l~fB%5jiVSs{3p7x&J6I?ly^9_QlK4Kesd&&44^Z=Z|Le;)Z+RrPn-jUzwn@5s-B z5bd3xb>!e4Ik-=>o+VCF`54PCepP$(Bcw!x3*uOPn1Ke7X@+NzzfhD^tR%c(Btj>$lLTD zUY1|JgdXN|i$4`FJD;O@xcDCRYJ7^99p9JGL%Xp5~eInPujKmx-31f-SYN9 z^_k>d*eXS>*kv5Ul;xL^G^#>)d{ma6;TPg1*UxQtNB-Qf?@emOPL<@BW&*E8?L!<% zOzSsQCnBDzC-mpVnbyL1dgL;;IY_yb3BNe)SEo5$c5C(3`IZV=1|M+uAMM0yITrgg zbmP1M?q(coCAPd#Z+ODzv0vR&R7ARzNDCKt7S$Ox@Yak=+p)OP)gu_&|8`>@T2?3k zo}{wW6`vVo!p(S>_7iI+pg*b63NGybh|k5UCVW^vn((=}jh%-rypum|`xe*!bY>p3 z|6R)si_SwQe_Fh+b>qYNQJ>H9)rh-vdow@U&%Pml>bUyI_0oBxPr~`x!iQGplkKl@ ze2@NUKL^7<9bfh`^R~>xfC@*P&+(_&&Ivwk7%@Ncm7ds6<y(qBjg)+4+&H9?VGq-M;6#Xg^0>D`yP|v-TV> zzVDQ84c)~4Xg~Xw{Q({pvwdx6Dey^oH|GzQRv-u9eTLME#)h zRdpIJ!MKQz>jhlf8F7_I&>g5h(NA4EJ1*v;7`%ghVLROh-JbK4T)CLWHU9@5wwQ2X zjtQSjhF|fS$tGO*t*Hjp50KNzhnWYz!fVpicIvPU-qNiD>1sdgM)%MhSKa9Ra2}}7 zId3)Oo%2kb>tgMKPnYY?ev(hdafzNMUja9Kh;|I$g0A6n+BM1r#%1J)IOUG*R2y_F z&X4v}i|?6rL=iu;?q=@}KAG^@9X5P5Z3DpngI1CV?Jp|h$mfN(+=$y>2~VJ;yIWkWA)n8azX*^Zh2+T~}{PqV&SC)V(req{MX|HJYX zD}k;6_?L_eH&F?%zk9VB_EP{J0d0?u`g&V z(a`O*ebdig{XH|Tf%`kG@1W!2ytS$a z%sdnCZTqs%;yNl_yYAXg%O@@N>Ex>x`*g#H_}=g>+BbZT{!lL1f65WYYvhi2Z`&6? zfpQJHMh~E$MsKve+D+=2wx|A4zrDqAve8$l7;X3p{ipr}-nIVxn79+#?;`mSm7t>? zujqfXzOXO!&)ZJ@qLuy;|8s>e^JdzCKJQlgN^bA7pRgBZT+ml$9%!dHZyWY&%ib9~ zi+v4@C|+&3;^@EnT^-_qh`aKkkFH^bZuM6?#zVBx6>h2?pq-$8g`rz3UDMB+e1dVQ zeX;XUu_8I^m@T)VG{xIQq%`1;@qx2O;(_ahb{d~9<1Oqb<1-xB0KLk2U_77mX5z+}XLF|v>uYgc zU)zq#wWW)Apy{XXXYIHW=Ld3a;+Z;cN#h=7o{87&Pp^D3u>C>fT^0Bf`cQM_tsb^` zU_2b{sGc7x>T}>h{Sea*{4qn9<7Ge1JYZaG2l`y=ab0ntOFPB+H0y%(TCF4eRbwx_ z_~Z(Q9t-OKbDthM_5Tgt>Uew1e@Kj%s$7rlOuRI7Hjaw+%{kW!*M0_lL1RGf*My6_ z7`pwEP+|53(_K!HBh!D46ArXrOKcD?_?vbZcOu=2 z^MjWI297rgKNvrxNp76kd{6&}?RR#)mj0NRY0!WA=NvEne}gwZKd9Bu}tzAdtDj7Z(ys_;|JA5&VbZg2T`bj;8akVQx zS>~Y~xL)|4aYeM>c9#E_{80em*z&18#dzOAQ!t}arMXA;QQetE_p-&@qsDC)r#MOr%P9U zo#gFE7e0R=Z$Gp=-%lFfZYkF(a2=Iz;n%f^c0Yw+o?C`(tkrgrx1wJ#fx|3E6jtwzGLUj@Ehhi_SWBC`eX5+ z?I-JJN;_LP<)YJbv2nb!2ww5UA8whyp+TZ)-Y)l?;`)2zf1-V^3;NSGwm*V*HXb7F zm~#-&?ZGFVp4+wq?287cto}sYCgEJ3=Qsw(xsPcF=R_^z9ynJr{lWQ~(a$)KTjQcv zO*@byL$_18UY&0)crxdYoz8;8QcN8VJUP9#Eo z6Rzj59qefXepAtzaJ362-mA{8&7ahu5=3Xh5%*(03D(i#CJu~t2F7WTKUp)rio8MH z7n^bM+@JHH!2&yPn!IA?nK;)4?dX=*($!Fh?I-<4j*Ifec`*63!RX{grmO4{G7Vm=2lOQWK)Ec`zjGp5a8upob=a1F zX4sdFdwjEm@)7ibuB-Q{^40XemZh2u65RGZhw~nDGi#Xjg{TFh5U15;f-EM|t6pTlzOeeio7#ieKNqA&~+dc8z z>LL2+6F2)VL6*;EC-Nlu)!PY?g|6;V&vBuUDm^dg>;>8tH`f0>&ab=W8=4x*#7AkK zEvl^MDf$fr@)cDcTdzM1v_Y_m?%&bH%XV?y2K7V|>2~p+27$4RM#7Jnu4U@;t;krq zxd1uMSpSUh<&hS45bk1-HON;?=0!|`$8+{);p%0-Stuz7_Nh+G*@m+4B7Y`~1~>zy zzEAtwF#_iC47)SE6~@QOe|}?RasIv8=7jM!pm9R>tuVQLSMeca^T2G`^N(u1`^2qn zb)v4#Hu6Y+(K@HVDc5o>#4y>3H!uXY=_bTqwU3&msYc2{XqL+?d->26)3iG2gAtE<-LG$ zUE0ZK;uU%OZljcxIqn|vfy_)mQ@AF3251Pz4VqZg+wC6IHf~tdd&nFCmIRuvb6kho z0LpW+0%y9nTYLcZ(r6f)_e`4Te%n2=J8KKT z0yU7|ZYRFj-`5m&BX*r0nPhf2-r0O0J^}2Z?(n+Gb~K;HP)oET8x^8VsTT5of3b&R zKEt13e2@Hkox0CN-Z>1@1io?72L@(o=R#(>%2$Fe`KIG_pAhT2gxEES-`s;I6kb>% zO+)EE+^3&F{44%5Q;0#3=Uc|uGU%;OHO`Nh=H zo%lS!x)_2V{3udI<439H)R%V3PHM$yg+BNhvR$b!NkaSoCAnzbeBP0@Oem}y{!d!q zKQH}%kx5=l|DPH6C?I7gmp)oI8GFZNC$E*g7OwR_v;U_R>XiSs`u}3Ar8-w%vUq*_ zX**RE1{rLlBCduVygWsv=H6QWoxI0KA%=Z|iHrM=U~of~x)$4^S=jf2eSKEkXV^Yu zPP39?#kqhV{157${uRFe8$J_}yOMBwWJVye=lA7^<&7%!pE0hOT=t2( zMa6UzayVQNTF=!qx?5aDXDSIG3mfww37pgTz=sp?@?{+CXAVJHQR$9=6Z91cq+HT3 z@H1d!SeK>qn!2$>@lZuW)&Iq(Yi{Y^KrGTv+dS0Us#zZKOh!G6!kYs-+yXBnA&>-_ zn(D8d>_ErhD~Pv;WwZFvOp6UVe{btB0m*}d^EzUt2{`z3=)}Vc)E}GGq_(vkIa_$% zFd+Q+X~e5%SNQ&yQdRH%nF$gwPP+|RyD^&fz2p1aTh^7M!Sc}4(K@4e(kAk{Jrow- zjNxw_HCB_$Vt(lRwFeucby>)bIAiNOJHo6O&am0KpJS^JmZLlb;;vQ%6r2Yt;{pPF zy5G7A5Se@_SV#n>cRu%uNTZ#U6m%4dREEn4R?Qx3l-Wc07FvZa8Klcit09}tl)bdG zqz0z%_xyChA<4J1_0sO=ln_{3RTwmNce%eqH&XZhno)n24%9Damy#HOVcYmDeSFl*Chfth_PAY-u`wpZhh^vcN=F28UM)xYtJ1!f?TaQ&m@t-{*jW>RV z?p5hh9R?fytM{*HDYzv}jp4fTy^7;OoB$6l5$bEHNR5tWg4>VVZ53YffZ4pzS&7b; z5Ks}H>bFav3WSZQJ^2l@a;>^eG!*UT9vmkrMi6ql=ksOR%d243CnWh7jZ77wyN!TF zVqr{d&NkHO`ZyOMnYKWj7IpNBsqORe&E@_{2SLKs&m`lD>>$w{;ZN#W_Ba?*n`w$N8d54z<-ww(IodkYwqePR&ANnGO!mq9z z!_m6_m@jY~qktx(J?d8<0I@d<@Q{T&h|%$}RTJf}I;R8e%R0#4X<-xN@=%r_m~@r! zP+>LP=Z<2E0!p91_Pmp6Dyp?E5&9MVn+0uaIdf$?nkmL=8&&|{#Vfh~1tRfTMdbG07Fg|TLMPm}v>ZeI(KSt?p-etnBF;z zF!NIfj6YcSnnk;pijng#`}--X*+{su@n(UEs2kw=AE6DV>8@K+su-+?l*i?qQH&h~ zuQJsT^&;C~Ww6qWDjGt%p1b9uCg?KMYQO9>UR<|6Af2AAlokGFd>6%Hzg#*W^W7AX zUBQbC|JDrOCzulr3pLpkm+{_;Q!I@3`nc;;ib!T?Psz!Y2tidO5t4`h&0HiTOoLkD zTAjMBLiG)W4{ljb+L+aC7{OtCMfDgEJK%2SZxKhW4l2OK<6R5E$J_>g!FN`a?Ypre zT9X4V@w-yZ3C;VIm1%XM&Hza`mUULy--1@&X7zD*`muV=Yyw}ttn1vDeJrTBwZGJw zeB?UyY%`Fh5WXlg>l@_BqgI~ElCtw}WpP{yjy`qP&GD8`KARCXxnQ`TxKZ7hsoj|- z0}(}Hm@ZE*b9?5zf?+l7vh-l`XAgwMR(G;?(8c>HeS1$>L&O3ZF)_HIkaz5);|+&U zHC3lgj(+>djVeL-i8r*Wd@vHrXO`dUL;$7D9cgIE@I00qMT#$jkDN}>EB@7++11P{ zdw6=Em(X}!xv&Hy0TvC33u!(=`c?e(C|_n+vYZe+JL~ePTm_1+j@~P9t8N>GKK!x&ODSy_4%;F_yFEC z5)7ca4kk&dY>zi6utj(bzW;Q}0}-|T@c0_G1#%}wYpWe4FA1KDK06_RUG4zkHw)j{ zqgy(D`LBp!uTTF38sq){4LHWb&&&DW1C9lZ#h-}8?~8%9f09RqIX3MvS?2{CD=pCT zaB5nAVg1T?{a_*cc~>@OvL$0OIWzwa`pAp0nR-W50kgst5VkY%au4D^Utik;0%4Ge z&fDM5_Y36W(BaJ5WFU3_UUdRL?2&NY=xc*6Fk3@By&KUt-?(ve-9kA|h&y;ov9PtJD_mT-TR+I+uqCp*NN9e!z5z1jV|9|dK4`vNn!LbnGSM|a=p^QC zP2`Ncs2xDneu4OBDUNH5(xavNLL960iG(?*>)H(kU1tCuSq|`SrzJ>n2lc^=x&pl8cM_wVchAb~-)%DS zvnl19kQHy_t=U}rUycY>xtp+t2yZ!U*7@P1A^XS*zNwx3E{1bGKiN)U=v_u-o3+kVPFM7TE0mk^N?h#l2 z1P&d`q1ipst}X`ZK;@_k8zN^CCiB;k*msSVGo4*6m=_#f_0$ttw$pCW%Y^4(>!{@f@ z!?a9ZaE$T~LDb z=*4zpLPDi8WKU;Agk~Xwtr0ow!7<$r;ysae--XF0=y}-P?jfVM3@K#n0#Jlq@$Q$; zFM;EPozw$LWXpk zO;7g~d#diy#fXk1&a)Sd1aFj#bCPwX2FMCES+y%kLwWlPWq=FN)42IF~&bhan zZn6TR&2*t$Y$$~WswRxk-K(IZrK{3Whm!O~j?e6}d`IxW;VFZoguCqe>RqOULX;bg z>2{{oqtC4QF@qM3&Ij?%2V$2h8>;A|_1=-&cL@fm-=ElXI1F3ta;@RrjtZ*N-zATg zfcyPi-^yX2KT!}7(vSQCzxB{pH3h)CS3Ma1^!JXVC3hZO4u1glQEdt-CVsOHMvMw5 z8+7{EF?>tGQQqByu?s;m*&ii6(7p{z=S6>kSv>pY^3B~eulP)M`DIze*E?xcH0aNz z_}y8WhnjrIQX6GeCCn{1oIjmyT0Lm`LQs)JkeltV%QLq)ao)Sx=HD2p?d zE5kauudweAh~-n&;bogy-+MsqUlc*tV4M!Bx2Kpnpp45T9V+C{rF1W$Mu8HgUdFcx zR|FxT@gvw<6_*-otKFxtJmEf}xAzom3zDm64TJ_zn$t)ZgU3YZXDWiOcl?0)XPRep z5$8aO1_FVNAlC`_E2$A+jRElybn+NB-KKg$px4ckb45__BWy?6ek|%!%$*X^eRO#= z16G$5FduZ{M|8`8gRoK-OTKtKHQkhzH{9X^i@%xCfsP0+T@6FObp1!w#NI3OT!316 zoIBx&bp_yn2}!{Z;7ZBxjkzL+X6x8@8k}z}mSXrTacaigg7ua&2v0jn8wNQ|o5Hop zpvPehxm?1Oz>P-b2+^Y$WPS5O2EKVu!%=4BQDwtn<;z=yJw~yjwS*c~8eq3lEj9V; za9|&V)Nee-2Quy3Joh6$wj`-sn2Q`nvqh06`(Tqx&w3^4j79-=yq> zpRzxkEPR8RcELw$1cKvadf0JNz+ExlpM0CvLqv~87HEvtAw?u`CEn;nG*NVzO(aJK zSslU1%8)5ybW~H-I69P!pYX!HIDV)x=_BxE&YN&+Td45OGH3(LiDK#bL=Jf7Y9*%( zRoCWb8SY=%kVhg>*q3u$Tn8#QX2S$_h}pMGyGx(Obc9O~ux^z1gJt3oZ)`%yM@boq zslBj1QGsQ#dkA9rW?*O$X_1S(e~^)msbXCt&5K&D$VV?+%O5CY5i#U090o7FmOIUYkkzp*w5P&xU`oXej9VwMib;e zBi~|gDXxTsU>aOAR1!{Py7a3%H8WCw1^Usn{YPI1sk`VW)X*nZXV7U9tY9rsSChMo zf+E*t+5Lx$tIAXYF0+mH)>!YBwlFS6EQKhY<6nDi-vf+So~j4g>B3DWS7>uzdT4*8 zr!|x7Ak$9MYmMQl&zz2SfT^k)Q2eR*NTHP|>isqO)!7*E=Q8Q2_)3&%(;K> zSkY@YIWoFPZoLZ#?-vO3_HSnyK}vcZGhC!FwJa)+u)^IQZb3Ovvc_aR$D+ zXIKUNMm7GNb5WVY#Wf(t_||m#wiB9>0FeRM@3l&UwQ@zB#0GknQUpwj)Yxf!oHegC zeMPDUjBum8E^8;Uh3~U*^w>^wkv3Z1w{IBBIT}FW_={2eUo8S=!c^XFipvLgrg-a} zJ7zIdj&*u7tsn8*mnC`RUW(HM7-~63| zW;Q>w)ikP=EuTVaWN2PlHT9U92)&p|lNmqNAJ5Xuvj@pT@pgkJc*kR~cdoG0Ky(wN zpQy8Nf92QREEg4_FWKl6K(Q0su)|iK;|p*=^nMSc z=#azFN9u#Pz{Qy{$`ccKV5^^f_m1(i!*upMPQ$sme8NiVRYP%Uqu=`XdbZ9KpAPzs z*p*SYm~|fN>9x5uXp7N%RRdrB_5&=zm8)jnb+%{HGk}r{qqgQY41K2w+H_N?bX5K* zbr2UojNpr4l!xKv2)?5D3_PoSegWU_cv_e=6@d$=W6w*|MK)+-cW9Mm^eUFvhBp{R z2SV2ZKQyhNOi`Oyptrz_YCCG=b~eXUd$h{Kl=jN6Xs^8pf!R>(nT@GSU(5@^F1|6V zqf>O4{Za5LA~1@4Ue$g^bI)E0=UDx92W?=ZBX|Ek?FinH6Hs;8jfNx^-;zV-CY49nh|^=ciCy|n?TBDeAi*Nd{TWxD!fSlcx?R5$7 zEkVOjd%(YGEw`nH8@Glb{#M>!&osXViQ=Ace_8g$&t$pw!LXlseyvqDT8Mm^UCyUU zV#&#hd|KC!>DpVlnRpK&jcTYb_nMV>lx>qKY^@k-q zxrMtf??=l+5!4<-`J1$3z9`fz@eiX=O75jc202Xt80R-?&1x-Lr^NTgZDAq)Pi=H5 z)xk(;+iNvT+Ms@;T+|Xn4;zHod~<@6Q+*kOVE473ETYSzBNDsWD_%HUbG%|)Ba0`O zI$Mb+pW3xsX4&=H9HN4s79Av6M{)CP+z1%)X`B9R5Xl!Q}~dk zuL!l4nO(-2Arm#5{#Vw-S3Lj7dU~w(RRv{}v(47Kk=AV242WnJLPaGHiQ&t?EYs2u z+nIQWFg{QYBFzz(O#hL_5B*Db1WTR$#k$H}nPZ>`;!xP0@S@`IvM0@O7~^Po>WkSU z7Ncf@3}TNVy@fdR6MslwVd)>~kH5ePKETUGjqt<;T9-jE63j5SyUJuEg`x=%B}hbK z+n>~5a=wr8s-{zZmQpQ}vJIuR-E~!*HQ6#b8b=8l$9vKS_Du~$_c6y5rcKRCY;G_2 zobLe`5N|{{{c($EquqkgXE=vR;d;7m@cn5s;3S`YP|hzaXgs0~H;Lq6VXGgm)@wUa znqm6G=G|w4B8RJ&c2i_&Ecb^bBDgGK?@tZ3JI#13%$~=d zTNd|f1rs2K{U!kq|^XSS77$Sv^ z`K#QX9}Sq5*)lXfLM!cCjJoS}(l|}rTqCzMK2?MSP+B+!S#K`|AlF4RQBpkqgkXbi=i^&_@@-Dleo?xQ$I?77&HZ^fF|$9M2X3peokrEv$5=Ung0G3k=>@DJzpn*u~;VoDr946fbm;Kc|;Rb z`u=pT44Myolr(Emlg7s^R1rTbjzEoKb$K6rWc`wN=%y*8@RS6|>j_B=yz_21X7gyW+d1WZSLgm0Im0+Zs*nt%}=-1hlRFmZ<-B1B+W)b36-vb3DGIP z$)?XK6BH@_mABdnvo4!nm6U~-~pE+w6 zEi)LD+2%1fIv0QVR-d^1*ho^%QKElU#Oy;_lKmp~_KSav#Xgj3ZSY_fYKFKJ9#)`mh}S z)1i4qNX)u@4d@nC?8QIv!6$<;qd3My4=vn6ic-1O^Q`$R9u<9q_XgZOBo~1g(T#Q> z4Tr{!=c1nyedO5ZDUe`~s7yCU?GrMex-=_GN~nnRVm9-G*ak3OLT0qpVXDVb@bbn3 zoUcthYn#REUrr=S-qb12%LL;+T-bLtB?xX51D-Pt1VJ{iHWLu1kpltqwBm!niM` zpSAY#{aJ~vV#fxTNJj7NS_LGZYQvS|J7Vhi_o^%n?Wprgx892!S7Nay@>LT|_%3&- z7`?{yJWcu1^2lSy-YbOHovKjnv!w8S7Fj~>ZPcVaeX0u0*NHhTUlVZi_XIWZ@oHdh zv{(GV(JI-aeGLcjX`#FO9`N$<`31Nx68v)SNh%M7UGpXM4sIVPqNPbhK*&XC;O>T3 z05>zoex4ZRTHx2^#idB6VoKvaM~csboNwzpyM3CQf}w^I*=No?6koE#sbQsrFpQR9 z*qzY*A%45ZCWnxdT*9gJjOOX#C*KbnL=T0jlOlR-nj=c!>78bJN{z-E)utE<1+3(v z^hb*m&6(K;vrpB>qo;cL2{d3H4O09aWauAHD_?|-_VrY$gW#v@F5Hj%)qd1%cI}kA zrM1-lkw4jh=7DOL2uH!j%17m|iw)9{EpHUqz@6qL{gI3Os5*38Euu#|cZ?Br6J;j! z(n`U}m1-=@YF*IWZ)=!hbD0~%cN`_*7-3i##Y8j1I3AGux)!Jez#y!T;_P5gSn_2a z5!3Qa@=d`~BAaMAK)HJ8M&k8sfwvgX>LMej60hnxx4qhJaVp_==8d!w897c${LqNA ztGc(-F^z-a^Ytp3-iVy;G~8v!n|Q~EcM>oqCOdpgqWB!=enBgQav!3e^R3nZQ1fx* zI%63}6Bd*JiJ+ux38dc27&I;K##Rg!2gVvKGR+bUiwIDOd|RLQ)jWM&&n2#l9FF?i zDXP}Tye=JYW@qm+?y`X$_P%;kEHKsxEk>j*fK|shPX8ShQ~LxF!~`npM28z)KY(@W zmS%9tQP!;u7~dA}T!SEQG~LkM&)zi^M_wW>m)dj`J^Y&UZf+o6&&hfTyLV!hf@|JX zeT`u8(JAAv>Hvq(#_%A)N1=Eo%&Yb+K(uAE2#WO>e{by85HZQ$LMujKDKxj!zP3s5N-#ZsJSQP&hNY7;42n?|2EJ7hdM9StJ+d=NY?N26^~pWvU6@q>L#y=En|5i=Vsk=z zZ(HcMR>t#APdJy!3UWMd1S5J2IQ1$@^eBQEvxvI8piFY5oY#)bijM?#o*_qFA*9BmUFM&_ z63!$s>G*MBvGqjP?=UT8@Typ$)`YvaZ*tYQHk5#u-z`~^IWyy}!!mK-F||Oyz#&-4 zqk1kfORy)sx}}rw&>@b!3n#mqmA4`GWLHqcc7Jc`7>4N)p-3;sb(v~A)_%2+8|!}0 z6q`@VP79AM8G(>1n`2r5l|s{4vHz&+effn#De5&E-M|SVbnG`V;~SNZ_p|ODAu->i z>FLWqf2W91`GPXcm5U_2W*#cewb9CT5>|$YdGN9I6l*b98>=cqyoVH+*Yu34Kt9s> zfO+DqZ;NH3QRWk&$0!(}OVXEQ<9m-pOjBl&*r!J8bsx1R!|P*%wodMY4Rg zh}X7zFEMVjNlPcha3iMvP+?gVBhyn)D}NDM$=r^KkC&tw6Qby-K7zPOg&CsVh*apw z*uq*avzY`WxL{pJj5HJ)SYa=*S-a??%!I&&R+7gQ zA!d!GgjmHK3^UAxTrHXtme#PRx1zhrt*PB9at3>dO7jRLC*=i2DeH%S@TmuxC~?CDgnEX&xEKA$A!oqT%PZ|tjWl4KzY zjp{9~q8thhX~so9Ox=@clGXSW7DM=+6M-5$XKt$GUneOYP;F_fMW-A441H7njIAiK zLTk&_H!5{C`~CLh!#rG-C5r$l#X|!Qh?^t#J zn5hfa$Ydk`Y{jL|SM%U8hMXq<)vUl0p`3}0T%gI`bPW0by=}ExA{g*F&o!rEb-;EP zED_GDVHnZaW8j0G^ujh(?UyZ0$TNPbO zeT8N#{DrSPx+8QY9R=&h%~s)hE+Y-)XossS0mq={Z#+LQ8i@^mibUNKop3JX7Lyg< z+YhK%rufLm{zq24^Ghp&KR}H+*JbN%xwU=|q0J+_LCM9m=D0_=pm|u5$5Z3%@>xwF z=#3tG%uA22FCLuRch)zEL7nz1ESh4;gj~fivo{Huy_~iZ#Eo08u@EUR2fQgm@nK1QXx(ZE;PdwB?(~Eg{wR}5MoWFUlW< z*~FbU+>r#`MVR@dtBGtixf>&a;wnLEP|dxnFkbpQe0pzI6Xj~NDd8B^c#mbCzBz)U zQG^UdegP5}f6?eV#=p`=kSoC=5Uc1JN7KDu5`qMxQRyj8w7|1kj%SCvwZ#M-l&8y$j-Rn*3+$G$OlgJD{>0Od4i z(|DHvi{ok`s%iP_NfO= z_kxhn<+|+7p*s2saeu&6CuEdBC-4&8X29Epl&Lgvhx-bT$r-iCwn%D5px0S$iV1GG zt?)}X(*(I$`dz;Jp~x?N)z7Tbk{4m|GI>1scTVu>A@bJX$AWb5e}`#6-yF`2C<-2zoE^*%Lzx*POB<9zTQSBi>Q! zR|%!8N-s-C!|15XT!f5yZ9lN(zM%G#oL+oTvxT?IMlj@D zK4>FQCu&XW;5~~|D|3+zu$iBPA`8XFuu17AZ7!n7QIDNm*7@QxtuyOpn({}fuLkZC zsdXtiq+HiXgA3#`Z+U9WGYp7oUB}h(u`bH^la44d7F+Xe1NDeSdv0*#QXF@OecfcF zORSko--@0YI`=a(jP~wOaog$t-gLN;RB`!Es6MBr6}4~}mb4aTUVkO{K%;>6uHzcCPJqiy**vHfdH#*y_=_@i z{_>G8DE>^R z;n3S^fd~H*%T~qo^sfjVK})?c5!``Cw!q$WK()8eWdr$L_}tuix-5Fk;`F-!rIA zt8lDva9B(>myZKlZ$OeURIcz4w;y|H<6`Mp-7H-q+~jG4;|0Ts$j^*o1%dfL-m19E zrU0OBDL0?|97are$Uf8lNkATEVs#Qo_-jKE27Vh zXlGD%{$0S(QSlbyGw6b@c{Ml?@4OdeD%_8}hIus*__YYbKT%G{wdWGam7H<}WmiN9 z8u`;Cx}M5XIM{ggt$XCiLtkK@0KUJnz;Bd2&Wo`D2}e$q@EpAJ8i2^U8`A;D-vjj0 zF#%!0Zo(J(6_gIy`M)GmK?;a)HwC_^1_=pU$Sr~~cwmRB;|A1Q=wK!drm zQ0^!Tk)Tsda`$>Ms$sk%d=p5_Ehm*c_BMn6_m$XCqr@C5iYMBodvqK*-MiX7z&oQR zw)o&P_$E>C*uJ{OT&x8tr-~8qx!+I z%hc=j_8f1GbtRG*Dor8RO>HS6bDBURs}B4c(Cdxp{}v_f(S7a1xl zHnRlpCH+J{pMvF6Zs`V}^_<28Ud3F{hqt|*gt2|uP2VHsy49ST_=2MeSaJ$W+@pU0 zY~NW19?&Or(xI#yB%QuO2T*UhzuqJt-og>zfFITC*XsQ9o@0+oMm$ru7y?eLhCG(S zOeU$ShKX0z*e(b+j5_>TPpMs?{b*HUvOwXj*8}_fCz$xH?l10sT=0Asgksh z1SoLep7?>=RV38+NM@e+ulhCxj(Z0cv4GZfJmsRQs`z+peZWobf$|9xldz79QPFkR zKyN~{r%=vqu;Z}1G@!Ri=aMPT4zC)!gR0kqe<+SF5P8)?L~yfBrZ`*H(5X)d`x)(m zVPE`vXa>KyW1mw{PB;**Q!eM4dBv<#vCW>T0A#8wSomB|85rV5@#co(=?e;s=y4-X zs2g8*c#M&Kel31su*FzX@MoZ^YkvpDXltYo`ZeABb)IZ+=(@BpWfeg%`{JCA7;Uie zmoAA#z@8P|GR`hR(-ke<2A65BZ0RxdI&Xv+-UML?LK6wU1ONTiBLZ$jZ@2mr2mY-b z@$x_Iz&?@yELBCQH}}IY&_`JSO;@o(8~X7Ru*@k>1%QjacM>8B!lj-eISEl!={xZc z(JrW)&mTaN_fINdC#UUzPVws}D$SKvYhhxC19SU-?ggiY4L@R<+@?L*mnWtFE9-wI zVk~&F+k3LZE_b^I8TM`uOq>#vM>k6+om`wnK!WqPj=o0Q{_kcqA6;lVrR2f=hqVE! zP`Y7B>)SM_40^AX&&=+d*L~ia7{r5eI@PbVf|8GjFnrNRnpZQ_$|cPq?FKH#=aKsH z^XUC&i=$MZ;zEy-qR7YavdFIw^Fai^jGWV zauapwE!3#c#-t{>81Rpe_l*j z;d&V&3+?N!ffy4oS z%U7inFr^HRi=^N5iqUlF^_rtEGrz`O@KtO>7ak;Z9ml}u>vFZA$&Dp52=h_E?->Kp z#0?fR)E-YQmk>&10Q;mWkt;i$odY=Ha27#TVSxH{2XF!P+pTIj%oGw#rX&4Fq@47} zXS@2vZ)PMr-d${w_)2cxK{$Q=6O4+xEl#BWIMu?mI?1LmGH()*mx01g(Ec8vYnRJj zH7~%i$Ant2I&Q~kknIU0p`IfjBI2}31f}7) z%ttXO9yA!X0ssuvJU_rU>^?*yMnYCV_hZY0pwMWBgI8<{0FF>Fh*v10H{y$zWM-GXz4wk{CU~s z4EqLr1cI#^JfmV(si>q$JI3?i248w4S2J2i4F0~0d`zCY=NBt zdF$yyF##d8q?58!j^}0o>uOS{HiGpAPI*w_#l>bhoN(=elvT| zo)gg1k_N$h{86}1PuhLq|HTZH1vG2_4jbn(o;kgE?TIV*s0Szw24nZCPPz}TX5H6p z!0S;Fw++`EkYuU_Hf%wd{c|Q&_u(_=JSfj=+!ym6_i->6yrDNl;M?B39o@d(a^)`a z#*t$2xoPK3;3f;`&OF*zx9ig-!_U2lQ)R-94-;qHT4H5t`aR7AB&pRu{yOEQ5$gzb z>E~1lY`i)03$)il%GZUK%@fIaeV=_)6GG*nx;P-uyJG%}Od>hRSe9!1MNPaWb-7H8 z%CDNO=ckk97z;w2xa87d%EE8{xU;7B>s)Px%9jyy!AmuB@AD)zwbv}&$6`7awb|sYCoTAvod9q~B2gc9eW#yGLQWrIP zk9=#enJ~122-YQ)o=f~@IiDw!8AJS_kc?5i=j6f5XuQ2|LMPW9mfoRA^NnV(mLvdS zS`{S>r z{4rq8I%IS6ESKRQ&outrG;ZeM{-QBv)|%F@59^`Oacwv55o@GKi;hOpy{_1+p}O|` z+O*oS=5*y*+wZq`dtwUl$GS<-(FDK&@trb<^MX!ZVEh!R~tW9Q&VjLWqU6^T*fib7C)zYW|tBCJKmjl z^&_L-SiDol37hafTK1=gRuaORCc*DJSi<9}mKef+?4|09m-pSD-#8>^<9$e{) zkX+cJ9;d}~ey}yuobe;M;O=L?&E&;!>Ep%M9Z9^=xIX$qB10g%=KZ@%Y*8f(v1FG7AFvKn^Gu=eTu0E~Yv-t#W zCSBqLdsVGkKF}_UKY^SQH+(Shs&wSKG%s+X`;!w2Ubo_q{1GV)`i2#8hZS*ZC8b1$tX-Jx5c`;)+1;QE)rUEG^l z`+mn>#%qZUME1@?d5?Lag}r^JMbi{|2avWOP7oL+!K3z)^2fA1e0{n^*!DI#7X`DrYMTd)Sf@h62-ao`5H4%0ggY*9gEG@grOr+((_N~bisRa zvIv$2bwPy%k)yw(Up;(qS$L~9d9CpDy+MxNW*Wn%8f&VS?$EA}Dy!MGC;eC4KAXvr zTC-|RyU@*ogL-{!mo>G0Y|TIc-5z|&66I1<#9Gau!PnayHVv?3MGtlo65%(a4S-Rw zK(W(jwqWbLin$@*)|3Vs2Ag1k=riX>s(~>!#=WoY>7?MF%zwf;gLc?FDi!ya>&dG$ z2yu6uleI_HE2GPxCpTn;#wwqyKOQzRJ9$n%e68Atd>LyV?-4Oq@kQSEG?p=e27)XP zH@nx@-m_#|1(;T|vCmnSRRT6XM|gSw8lRx`ER%-G_^&~tX8F}m(z}GPM!#vA{LnxR z(q?4JBGj?=^uRdbN-h~d(XHd3Z^o(qa=yGFFDh!v$5^O{t#bQk{wQ2E*0xT!^d>bI z7D&0iEq$HTzT)A{uW**Y8u#;2%(7uUdFA28L}&8gb{%5bzWZjyf+xNn_wW?Wne&w4q$_>t?u8Pn^#!9m0 zI{tjJ<|Ru0Ypp8PbJOUoL44%2GCfu^{eY9B&UghCKX})&A!-YkO+{th)lEEWq!h(bKN%Oq*7fY_6NC zx8_xYKDFxu0&sPT%<{dOong4*Nw3K@6Xx^%IlpS@d(=IBBinEq+b<7L4z&C;j1*!5 zVW{l0n*<{jA(0;LH+$AQ)%(r_5qFc>|AWMM-%)B%-*%Pvdq9RiMX?j^1=kzhKY{q4 z-{oL8ru(LmhN+>(Nbvb{-z)g(#4{?9{HRpW&XFEaY8@|j7)4?eGiiMJp7p^(hsDPY zX2$MD%AYQnFllS)o@F#+PT>9UOX%%TMh&xwdKVA)6>)G*qFy*I&{blv^gfIl(7elv z4mJL_Igt}=v^VEAElLy+ZKeU4sCe+--p-h)gUe@$rtZyE>}{N1Y6UH6+jTl<96W?r z_b;uIAHzPoWnLJ+#T}uL!?*O)roG?eEe-wg^n$H=ktAa}{BnMQ zD7qGZ_1_^z!Xmv5U%PjMW@CIq{yXpcRBpNIBQ(#emsg(u0G$MsiGFZACXq{ghP4DR zMkW-;OFN{(9VY1QxrZQlomcE@NPEE2rpizMVq)r+1s$Sg0}l2PHXijF4|!&APLpCA z;Nm8u|86iIh9Zil+ipE-Do>?_sKoOJ>^?FqqU#7n+95JJK6)BczpuZOx(jU;~`}Tm4qI4Q|eZ2NJ%zcA7_I< zG&tmI%;Z&C8slMMU;A`3(wJGuy2!(RC1{5F^l*hcO`MvIs=+KSZ@Bld39 zR;pBqQ6mJkLhKQWQd_N5O9@rGwbiKF6h-VEGZZo3=x=?$@9&TIea?B#dGfihd0+QQ zPOj&^uG=k`Nj)bc$C*@adQfERV@&IsljR?Dc{x2Nj-f&-YxuJBot6H9EGu_*OWu_w z=?0Dd9#8n|oVMn&TlQXKHe;7hRfCzxbJBA#RwXFPqv$(kYzq#-;NHB996B^M=arI= zkYh>?KHO^D@1xBlg!w_@QKey!^VCOJmoZaxf@KV9U*T|4!pcN(x2MCgFl*-5r?`xM3~owj=j_1aKt+kkreqe z?DX_W_Ic{rxjZy0U_TqmoJZLH!8D{m8x}|ZmcMSfgKCwxK*7Mr?_q^v(EM;cLKagS zwOZ>gy6w$2`@LqR;ARYhrGGcy&_$JQyWt&9UikEJFx7TD;4^|mo@X!an8DyGcZ!Ai z>i!+~@kr3!C+S21O^-YB*sNg@zsW&{m?(b&0k@cYhHtv z?t~4(CQGcdy?}GT;^)MGm$1*Pv!WEr94Ds~^Q0f!#YEcOU>8MQ`|_mybv!v^1T|i< z@wQ(Llx&R(50Y(l)Xjn%QO}38HEYBN2Q}%m8hN}VquEoYB`uIa@F%bw^2N;W704)< znI3lG6LgnYKaX%dtDI*t>r8TuETQ09vyc6P6v_c;RSu$QRnqvWK+#tV#&|^Pd|6b<# z^hvCBEzN1E(J-0Q%3aL$%M|f(n#m#vMLdt?uYeM#DA_j)9ACR9pc7u_WIJKKs2h(SZPW|1v-KPj{~X5!&OP;j8J6 z@du~pjq-Q=Hvq>#eUa?*Q!Enf9jne%!75;1-_!2+*-vVcqP zITeZWDXyn`m7~B=(o_R-1Flax-*2)!CBgi9Lz^xVw8=KK=kE1VTt+WIQSK7_y`(iW zN6HC)JZ&>_ssbIiGBmnDPP6Cof;Vtj-O#4ZtYh-ldgOKSvyrT)=NzL-F*?Vh&(fbK zKV+s8wDsBApZs>C&8b6i<5TLQ>q{dd!l?!_QwxOS*5{@f#D!|@@uNu-Ly^+i zeJ{5{?%vN_OED#t%jJgWtTxB23C*bxQ;s9Z4N7$=u2l6EU^jk!Asa8@_IA5OZ>FI- zsKn)=*g6SH-KpLj;Y+x@M{lAY{HiSN`R6FzC2>?D(=LvP)Pm3!BJ{0&)cH{kw7H@k zB|N&R@>VTbrWhKuEV&MCGEv2`j?{Ho4eE@LVGjkp{@Tm&+Rso8Nems^9@{RL#IB#z z^+9qkRY@2j6-BY8jCC22q4y@wgfBa+8_@7H9VFGRomS!NHO$@XiIw$nmvpFcqt`$D zej#%_E?+h4oFTMkf|VZhevhDaRUUkf6)bE8}^IX^t zOrXPXHw!^9#8)b`u1|48%y_KkTXSZi`<3K|?3>}^*@Uf&N+g6ooLvJGozRXNvTQCi z?;$<(2mU8=3OjHbRGiabb1JJni~YUZz5I3mi-vinysSfF|5BGx(Z*Mfgpd8sQD2HE z>hQ!Tzwge3j~D%3R-MZoeUt`N_{vkgB>)~#OM)H;CHn?JO-mNE8xXRe`~v}P9gAm~ z`;?C{E zlj$3|QLIG69zTHurLoftQY+0o2P>hr{Fpgz8cF-&!q4DM1@ASbQnK=_&7&}WyAMmb zvO$Zhsd~ONnDs^9pNcE*V~4icD?_+hcB$uFQ1(}h!2N8@v()3;50pnpVvT;OdmJ1a zo}I!ZP{vhUFY;&mOS|CtrGsKxwjEsQAt)PHHc>6xZ^tDD$;vhQeoF#rpRLX>Suh^= z4GF%#O<61fU0H~xdAAH#H}n_4Z^)$ktH))j1Y3bDY$4ePWxb zaW<|yEjpG841vBnW-nj)J17=Eps`AbM^iX*m;#>79fk?2sIAGyh>f(DuFpTeMSn+l z9blryv91|rACm!d`>OHMWR&T#sdS1ar4zj$s>{xY8hBWo6`hA`PX4m0{k@|`EPDgn z+++u8Uxk1zzsQ#e>oW$g)h%z3hk7@3+i4$=M7Oc+VAPD=f1!V1@DG~H&E*;(wF!?p z#xf?D@3Y9ySeu7HpLF|y0zTpb;R1A>9|Y-iU4+*YzMa{aSNnrr9-CIhxjjAQK2&IA zYhNZD26~v8Ma_uUUm$@jSevKym6hE-WK$>q*vq{BC=-}|O1b!c5Rlt$)Dtj_#CT*azQ}-IvKKopES8+jXLuvQnC}dB9$-Cd^nqE`P#&2 z8*@!~Z~z_1Gz4zCF6S%!N%ykUhhjy5e}cPQR{Fxv z1Fs>7E>324-p|MAUMSk(v$dalY=bTNO{sUC(cJE6G1X!hcV@~Dm?{`6j;L*Qc%Ap1 z{2Nk2twnnZHYQBTW^1q~4CT`jlC$WlUnGK*>YmcOv{c)@XftT|?5g>GBtOdjdPrUZ zJO;b%Nvd{B^=|cPy^sh}IK)?$AI1nL;yc$TZC6TM5^pwIn{}wXj3QP>FBwO~&AdK0 zb#VGAwDG|WrXgrT?Ml-y##|E=x0CAKCEdNFQ`hWHFUUS?NRMT2Ok!Q+^o?@FC315t z4N*jTZzpCk+5IRlV%OmgW<}hNWv+6WXifn_` zC9`iM)2gHh{dE(|yQgM}XeB3Da+I%T#KU(B!EUmcZQH?BIwW-?KMC2IUYwm&&Qa+egA zD_OB~9}+QdFnwV?D)g8}7FeRa${+A&dQ=IJj~6D;434wPjgm}6NwI|)XXY)Ebo2zt zPu}cQ3hr@iTkS;6p$AA@{N`0akL;;Ucf&buTn%lOAGzBEP)*BTF!{C6vXUESO{PWk z%B{s-nEAc$+jn~1cwA`St9LUKD?@kOALpdnLUPBk5`pyAGFd0`5;Xg#R(-kN!0$ye zahrF)1HIpf&~`L~uwr2KQS0zRUE)6Ea`6mnB0TW+E#J{LR8Ue}pXtuatf(!~L?rty zbKJyY=?i^TTCQYN2W5-t;+wi%RxK@Nc%*u)P_dNi6JCcGdnEbn%g)zEWPY#UM)SLv z8p4TCK++OcnkYS|tWoafKEX_3htXTweaTv#m3Sw>OpwcgB*=C9GP!EExR1g1g^WiGq!5qBgnuhQM24$esPn8P$<~rs2&O{; z%P>edx6r}Vp`cn{$#NS#dojrJnLqtNGfRFkD|-xl47?yy;Lk11Qf@1O3VBVp%#Rc7 zeN=b$@ZCOliT#$Z-7jIS^?aPY9dEr8(adhUqR{=_OC=KxpUX~zHwfRCKo3N76SG8Y zux&%;Y~KBDAw5lu9ne~4yzo@**lVvyq~u43$(aVY-IF9*o9vV_sEzN{afBU7bnQZQ z%Fc06n*^O^))d|S$XK}I`WU})Y0I*+^X!BHE8@kBm{f4jjwCp8tgd#~c-E7?R8qTN zE6^BM&XEIRYOya~eCV~dF)hfzxweMD>^4h?N=Rq#*67x)*#lR<-=FTJ0rd7n^Qq_+eD8{e#PKFz=Q6O0EH|v2^E<6r1E_*8Za^` z9!_{QEEU2*x%4cHD~gVu`uEC9-bjCrX$IH}3?(Z;x{n2kCHfCgsjk=kI)t zm5X}g`mr32JnV@6;=6o+nXGIB1?MPcljx(c0W!GL%d!BxFc_DPmy zEiDqWoUi99z7$6X$Ug1C#Q^a0km0N3RZ1qWB^Hp6`TVth5!w|1zF^|yS^(d1^Xw#Z4ox7{ZDUjMw5aIJnJrU1DS*uO43?DHwL>baJ z+voA9eB?-_d(K2#69Uyi`OZLwMswTDWTK_b)TI zXNI3vJ@IPz*145OHTj~hRl1M4IiiMYddic~iTzy(H-{QG$Lt}b+?(P@2QZU|M~UmC z9XPI{fi3n%nDV`cI&a;lcHwh(&Xx=F&$E=aP9baRi`U$^TrU`_~LF2Pu%p)}(Pq|_o==F@O!nlXM8 zo-n2vIv99}-O#MF)Sf9O{xz@|J?1h)GWlFyPtAZ`eT7amTT0?Gb|cob%{y}8W8RHn zS~zLemB*vXqeAqG$3J{m)+hs*AFW08l(9LiQ4$>Eo<8!NBeDG5Q+F_ZZCf#LEqO12 zDCp5-Avye`*BYL9o)HhD%;s`vkHa0rC&g1YuCO4wjCjxc{16sSSnrC3ejb-b93%cY zmoCI@!NUwZE~NYUZO)_&v^JO|$DQ(1>gqB}zCkzfgGoH0qI4ZnLOa@|kSVj)+f(Mf z<0Qb~-2n(b7#aNP>%h(2KzAhitgX8d!z6qNsEfYx(_&g$)+;u=1tqz-4S{_tw@9vg z#7d*Sq%3<|XzUx5__JCuJU;0h{WVM0&n{=7I@n0=T4y+vD1^aAy`KYgx7Z@k^R(9+M#3Df#{xB1{och%!w z$_8RJ_*AbDR*UNpvzD8`iBxKaA@b&XY#Q&Yb%4%g09x_O^MIL z&r3GO!J0U(k`_rv49mhtCA{RBUlpa}Q(-*XGj8w;Z0~WAXi1SLDPYccT*>u;0=aWu zfQ$Kcj-Ou)Kg+G31s%+9BQ`r`5yyvs`?^Di|DAb}{7>cu489n?XuBo;9}uVvb@70> zc(`*u;glf2`kWU%x%4?NGB*00PpN>)FsQ2%)SECYA}sRfmXZ(^28oG?NO0Z*-4hlk zJc(WqK7`mfSpB~!b**3ojhtc^DyV?^5O=62%o^g(d131X0QIo)fN+XX0S$dzA)G*6 zD?7+VQs|$?U$9*`AuCHT4(dq|BuWL;cCfkd;KH##R{w|7{V%Mde__3l>i#bq{z0y6 zK+`s5NU{(%J z5ZIr%YP#4$IWH#v&vJkgLi~?s0|#FSC+NaucMmI=#~+8qLE`s8RD67@kJPCC2ZN-i A>Hq)$ literal 0 HcmV?d00001 diff --git a/sma2_plug_v2/design/schematic.pdf b/sma2_plug_v2/design/schematic.pdf new file mode 100644 index 0000000000000000000000000000000000000000..303eabd63e847942cc5d9f0e41b2a6c1c7befffb GIT binary patch literal 33904 zcmX6@Wmp_bvnIHcEEe3|J-EATaQDSEcz^)G-GfVTUlu0>cVFC{MS?qA&Ub%IPgQlv zTRk(+bagk4nv@I+2P+RM&G+DtP*g5*c5-KPdsHDIayBI^CmRo2a_)DL205FIor8y! z+xy+Y%)?5`%EH;wid;kl)!oC*%FGe<+j3v0L2}8kBgvykcOmJiixSg0ewTVmnT6i% zw3+5*G!@RZ3$sR6p@&>l+>S|57d&19Q)O09XQe&3Z_1-q#Q`RAjI9=i(;v0q_wTw_ z@Z00sm8SnA6#Diiub3P76n{TD`FhL{{_ncG+vnzdbJy0vQ;;oD@;>$T0>-onPqcDm?G`o{BX`QSf>h}-L{x0{r_z*mDK7|}OT z)i;XQ!QXjrv$H0z&)JizZJIANHHP3S-o(+h^{q!;voGs<2zxligytDmcx3A zvyo_D)qL_*J+;Yly=%|Z-*`Ebn9HtG@>^CCJ~jR_OOKSLR1Sz49cx!5R6r`ZrQInM z9;fb;Y%73dHlz;T4u8fL7#1F%Im`NHUJ(EuML^>j3$cqYL<<*=F=oGVa2F?}Brf&% zSlNn4ZP1o)+joN?6bTj5Ju2P|!Lc6|bj?MobVFs_Q|mPoi3FieC@^K1)T(>zQIE13 z$PAmGMpx1&Fx&$&YqG>jHx(`0tYI@l*b>cp;05yWe~@+iGMWi_U1&j^9Z#uE*>b9s zJ_s~2|B9;l;IXFVfdYe9BI-5okte-gXUkamdnK!UamGfOyrM2zx_sdxL{uLOIIi zO{e*frh#78lw9*)#u?2^MXb*Gp^<3UZ*O@x5Qj(P*NR~696&bmx$dix=|KGms{oUa zY3FFhOPuf9gV9YI&pwZkZD%8l9x%0#M!jdoVnBvmi4yHTmL1u=g>v>hLjlhuST8h* z2i7Wpv=Mzd zb{w4d(S|^vu)U{=*3(HK*>>wwseA;=SydwRYh?VYC+Y&KBmoO>YO)>fqlM^a^_P9# z`{?#%(@YCq5?aWH#ZTLiAu+|myGg~xvjO}?llfnEZviK&&bP2V`FesucEwNvagWgejOa?o#SZ*OEhe*|D}ayD|LibwieOvC}Cn)4)I&U5BH*N+JHd?|s z?Wn-c_v+Ilgn(t*&$@%9pLLOvV+wl6xM>Oh^=QFSWH{u1DE+K+D=tgmrnm}`eHvM( z>Q@!MS-5@eKkt5<)@(z}aF%@G-SFgI8eBF3GhY$>Nl{tf>sl92=?_B40QN0Zk`dr! zFM~$EFZt3=p(f5Npo;-jkCqf2@5?@5@3nMBn3 zmq3b@8t#vwhQ~Z+Ni}z*-62Nt_a+|GjV=%<4o!kD%M>rYg@e<^t0GaN1V(;Fo*D`D zyjjMaE-0(uN{}c@t|;nYX4u2cyn?3Q+jTc3i9JswJw}~u<1Y|MXWNgIDU#E~%xqmt z61c)6#tsd@gd!9J<0r@g1UON1pTzfZmF!N=65ZC#XA3#%-2%Ly=BHFRZ_=zxWlNF|(H_8yXt*RQa-1hg_rYJO%7YtwC^c1USjX-!GbU{7I_H;E{- z)F!iN*QltnYDf;nJ+` z5^F&Q@=ttF@)0SS!an~&9U;6`FF>RuP{d{Fx1Z}hgJIEmzh*Na0`txF3@gjk4(#4J z`o9Gj;O6ATF{HxZ3Hr(^_1FP2Ri-&rE%jQ>Nk3ekWg*%^(ot6EYKYOK7oFIxCys8+ z{bQ*SK6VQ$4Ny9OB8@BEW~hlILrUmOuO0hKpCb2&Jc=G{#Ev)dYyjF=KQI$|Lg|aE#`F? zD^6X+tmB09!5W8xiCHHg=+CnF4k?A8TV*orxfNFi>JpSjr@2bxSXg1q$=m*L~O`TS=TnN{5p}3`rfLrX5xY zFyPY$?HS$7+<({%-|B-=1cH7UJtCe=yRY3Ia%yh+X?;IeAD(JW`Oq~uk(ZCkGZi=O zP*oDAC-FgM@YBx?@UErln#(~q4~m1#Y-P1NkwIf&jjmKU0JrirYZo zbfydpb;ai{u;^0{XXoWC;!U9`6k}_6t!j54ZKSvwh_xK*WPkNUGq8W}$4wEltov3f z|GCO+cZ`Hl*8a2hH5%!1+bq;>N=0?*RIn3-)$&q7cStdlUPJo&9Pe}+c>6*Yc%34$ zc7PdB4O{9Q$=2$tvXicxIq`sT6!#$E{*lp)?1E+PS*hRh&^5Y1Bts(}JqFDwh`eCS zn=t@NTjTjf&BQiu$oQwq0;|*@5RFDui_a2(mNWGke?g1d;eA#-i3mp}e8P@0->!Hx zdrrX0&1I(#XCi2*i@A4A9ax}rGsXF4bzD30G1EoOsm7Po*{~Wx4KD*4-SC*@=IUsc zchB1QQV=E|P*UQb-;=_xF=^MTHu)h+>8Nd5K3@Hd5G*hpS54E9n)bj3^wYNXvK;9L z4T_sY5Px>H`>9ni1Qp6A<72^j?ijxRg``3jl;E+^xqo2tmUkX>eZAv$5UIYL?i>7Q zYGMLG^e+edrV>2EKAp^pQE{&BFu)l)F#<_wuIWddXyIw6|_C15OapCDG^(~ zVR($XqnyG^P3yf7M>~1qUc06!|8l(%Kar*GDe7P(s2zf!#9`)*udRs__^ng$6;mYf!!8%{F6C<#gr7708}@O=)=4eru59i}d>dNwC$e&5Q@`$ylx6F+G>6mVfyU1pjt zKc@Hdi#*{|t{~V~iHqzks4zO|2{ZrgiY@3G=j~*IM*ht2z{&RHhzpld`?`U9rSN-P z24uUC%$Ds1qG3_{g15}%BMIWN8XYUqPMdOw{D@SfWh>c%_wk9_u0UbgHWR47U&%R} z!oatnlUWJXzY|z)>7uW!Ww7c|BU*YE!ZSb%9xm}ATV`#V>{wunaQ+ahdKamZhTtjl zFVSM0gqbp3hP5Qs<#5XWU}jl9LI?YZ9ACahvZyzdt?FUWG*4UHyXxUQFV*jDCh1L7 z)Cf-MK4qZt9D30G`hvC4_x#ZP`bGHV6zlCaUNuPWPI(%L``sT!Ad}qKTUkX~gZePI z`DCHo;nt}6@nv?%4{)6QdrYv%JOhgo1L=*!P2T$!X=xj*gV|d{qyNRS8Y6!+X_XZF zBrz8TpAO@AIR%y+Oqy5`l5ipXiN6~pvw~Qswi$qLcA}YuKC@5Pg+0JlJt2}5Y-Q9v zW^3H9sABoY5_q$N-p8C+!!PsCM;6=9V@xm;hp_6{R%^T4NBow+)w8$87GnDaK--HO zx5GF-M3c%**T7TKI=+xn+KLYAgv|M2$x~9aRgV3o&%Hpky)I#7M?vg_FjjnxH>sXL ze9OoyrxYA>Tk4(b17#`blFa$WR<~=aUC=E)n5Jt6T?C+-k{E1bk?d|+y6q%-@ujHI z(*4pZ$~tB(uXpR6o2LtNSyQc&>8;&83-R?iEtbt0JebmgVI}3%F0rbJVg?dd^=Bo6 zx*RE(s2Y0s~1L$ z(8jTs(~(b|0qq**I(eytHms4iBvGPupn^HuP+_r=p6i;&d9*?%ZgU6jSac<-PlNrl zeo1YFg}6807kY-Ic)cRp|D3rTOa4@e-=Kb`rPHvLI{p;Dd>ypZ{}#`(9`pzWHQ%pY zvCW7+|3^iL7OQqYoqfHlW=q4}RIACh^Ik+8OB}IYZ1?*vy_l&cCOS%s#{gXX)$B<} z=e}l;M?)JuB+_fvYdI`ox!>SDHGBJaYf8;`n0q5>9^>O`y?+ccGwK0dy=E8HMz9un z@27Cif1RF|Q;}6F=HxK4G$UV5FXT240_jq<0D(60 zLDIBnm2JulKw?e#+exD9Y7;`UY>nX4moW5&su7q$d4Sc97%UolUpZoi4i2||Q0Dr` zA57#QpwKn;JXds6BL#6R*-WPeRC=u?Ln zqotvYK%^(h2lTpw40Sj<|D!kg+Mgk6!+uDa@`(U}B_(jz%wk@%!Ev{s zoW^6GR?@No!QzJ3pZDXAdU(8skoMSdL$Wt{9=?7>b3uI+8vdZ}(F9JBnQ@ld45vgC^=@wM{)*z{>?Sb1NsD>V5U8?QOeIGPnmjL^(@ zJ~x{3AxmGc2)j%TMte|n1hjVhO2^c{_NGGu){|Kux%K=~H~2+wYUwKvWUVWJHIyzu zPhK$LmYp+70RnG+tNp=}l4~$U?!|e|!jRZWRL?2dNhi16VLbG&LCK`3+4w2uM14 zKTD$gVCTwMkb;N*>fECJ4oz|n51F)3h&Sq%MVV`Rft-Ea2zq-W6Mk8G0eeB^oK05x zVeonNCY-fG)t3u}5xWN~LlBGfGv3!bLS9i(Vp*3Uv4>qj2H=_}`!fljjb$TSPR?4F zl3&5Ce?FlDtXJ;75tT!@t{ih9uS6BdTwU)x zQP)|T*45=H6pcF!UbYInddZJ38A;>NIlZ&&CCgV+$^8}jVKOeNH5RKvR-lXMUwtv& zf{OWa`c+JI->X&jvtn$k$f!f*Y}XLTW`CJ#HVY#Ks%H%`R}ie~JQlhuHMa`$|5r!X z^Men|FX#$--t{*Ae(lY6zwD=Pyxktr1wAyr-JZU^1ig%d^YUI3aszLkHr}A;f!8)c zw`+IZFXO+@18IT+pV+z|x4JkqWa{8oj_;Ee8_BJ^3lk(Gm6_-V8@YGBsXWtI4DjQR zXsjUNvW>c&d8*a8n!lVTMH;>8ySD}c@+9bqO2|uyxm9QcB|fD{QY#FD4-DNhs6TlA zxQ<;eeMZmwUTsHIl^w%JBn@dQb4pah45K$9+Sjfxv4&)ACMmYN3v>yRg2w7SRH#1!fqkPdfwW6H`e* z_DDZ;8nB@L)LrDW*nO=MU^smiRC0-*Kjgrg>1O2a8gZ?kxB6RFE!G;JokZmlLBc&-Mc1Dm$_CpP1^t2fQdzt?EK@a6V>$|U%k=okwE$u((gpo} zSLMV2#e{nFH74C{?+|iiE1N&k zd>kgLgQC^;@$92wu|6ZGaw_MbxS^Xl3NN(MBl||1OLp*44A}G)9&RT$=kFA9r-C~* zQ~VzbK;Y3Q$%}i~0rcllm7OWZ>R3f4sNgrl6UnDa1cq1@CbHB-R~|GRY|Xz(n*dZr zWH017%r)z(;%v%U85QPL*eI`@-*Fn)4@!M$!_UI9i;mP=?3Tiv2~GO;UD!aoaaZ#j z*uUN|3fIgo;O{McWB4@qzt87lEfh^= zI<6Ileuf_2sH!U*RXr<5pjL;%tjOX`$d}1)kJF(#M4$UiKId!xb9;Kq#Jv7zD0)+N zD(?1UgF{zoM+>GAf<5LC#aEdue?vu^B8^s^*ZHbrc99*K1!s;KqOE5`#m3V>JOdVo&{ zd8rECr+FrqV&*f-E>*Odk)3L*2#3LVf-M=lWZ{MB@q@rcId_ASfb5RO+VuDz!3Bc* zUDNI2v1#!oyIK*r^AT18U(R?o>t(x)rr>m|{L8S0i~m(|;KvvcI~l1_t;#|sux3nq z(DpP>>@Y8%J9|*cPgjl|Smq%sfN${j%XO*^f5>K}{I{k~R3oD<=LM$U49a2>CsyVv znsWGfisoZWz!BFGvc1XUn7{+&&`@NuDd8-|-wWjj+uH6!I;#l(`askzK57hG z=jMrqBTbDkYvVtHQU7}VU%D*YieH<$@l&=H*@VJXs%T=Jt7BUDCjS;ue;m$eqRZeR z^9#`v%-K>kps+{N&Lv^%GqG}f)?PlYhpP`@%&Qp}*bc@V85VAsko+rDta`ThUZs*Y z(e6LW2N)1*nMgmi|Hj>Hq-d=CHqufPYty}?A@~tYWqI$tff&yctNO}{bCj)?a#d72 zd(|>Xc1!nmS^Ku(9P|?3{n$x;AMgkbdN{2Oy1R}rdEP&=>AoL7f4i%FJIXunkOW}3 z_?3B&f78Rbn<7xz6z|5A6euDr$+eo0_g1}h%iIV_U;Iqdh0WIbDP2PsHup5-+FZS* zP;V<^RhfZ@vA?n1PBhnLl_d5C(umb=m!4}NVU9zm-nRa?-`G z`^FI9J2?sXYvU*USwgTe1kfIctaVKLwxo8(rl7fja@btMY2nPRcQtTIJ1p6}AEo3@ zlBwjrHmnj=-fO|d}JM3EX=v&=u5Y9w1$0gw2Fk=$(*|W>;P7WWUK4kTc1elVbn6btdB7Nz)nwW zrmHnThN9^rA4sj8RWAqsoUxM2ept%@!8(D6FTQ;cIizZ1ZFq%y&n#;^HM+Z$7BIHY z7*N#4Ao}Epqc5iJ>g}=0uV=TAtJ-?fJQgTt_q+!c7-rB8_hVEb{N#)kNmV40428g+zJ#uM(daZ(UM_8f6(>gDvKtYsnt0Hdzet}~6Zgxd_&Qs4s&LNtn`73CWim{Q zY%`p+G3-IAohn6Fa*{^VabrfEbM|`o6KY?Gbr7R68CVpQ7sMMA;TtKPnv`ZosMJ|< z7|-=%MRQi;l8XkO)MW-oZ5+LaydMeEde(; zH7%l-#k}w|%XOFj=6ts6;lAxwj%7^L>9r=v_lq}=Zi3&I7;CV6hD1Af}X|74j8xM zb^d~o`6=BHaX#&R8JG)L&d2^YB>ES)1ztKqgk6z) zds|=D*a9<`Yj;0R)=}DT41VB5i1BXQwaQqg(h!TZ_vLn~WQ;9UU-4Ku4dhI8g%}*D<9kB0_FMgZVhL)9_u%yROoz8&YFs7m#=GcfD&@`;r6wF=BX0)HoGXVTO?%OL(jn!}XRl=sB zvY8f6msdVIiW6%k8KGU-Rkhm@?AMR3h4u(v&)HZlzAM(e- zn19=j%7s-|d8gXmOv<%_72(F2B~=G`3$^?IR4f|1Pifb8KB~0@#N9Ny%16@lwa&iP zJ9R|BU7BD%&d3t3ipHh#o>_RmvDa7~pk0%SGkLw0+{FJ#?W4AQf#ck!AQP6L`I2>X zNY)e>z}vKfS#n6`I|=mE5oNEmnpib(+j^zQL|t=>l9SnC?8eN~Xt`Gq%&a6YG`hhy-UwCMfXikBht6rih z6IZIx7sf${O8&s7m)V0AmE+PbgKX~j8yt5g{ixTYgSvQ=-}v3MzE7j(mtjF&-`1Y{ zz#Rrj3qy~`V|C-VN6VdEDkB7wyI;K-cpF71N}dwSRo5&li$!`)OT@7GV6t{5)#i$w zT3iz%L@xh~2akLXQ$JT)!gik)V@RGF2*mv5G3#JiX={!RA+8 zcrkCRHHQ2U0nhI>U)u{Y?F7)Dk3U564fUWySx?Rqd!zk`_`jf9*SZ*R z++Xc&dBp|SrlYI=7l``jnG2{VqQ~>&Co+}PRS~OIKGX$p&=+l~)Pi2QRF)f1g%}A` zS8|F~yO^XwA%sBUvBLpA2|VQak(Z!|eMWqd9t0)CKW(EG2XP!3fTxvSXR1lsK!5z3 z#w@FL+R?P7132{soJL%2xqdWg%REvLSY?h$i()0Kp(=W(ydQ>W#yS!gcEA`f2>=ze zDuh=6`=h<|d&>&7cX+tdts#?J?;~*&LxJr0o*WB~o&kLcD9numtrEWRl`soMx>T#W zn&sG6ImVs?aiLHa(vBrV<<26rr%#W6t{w_3NLer9gq8w2uyAGm8;F;>g4PkAyOoH2 z#>^K-+nS-PAn24sH>4ml@TKKFKH}ti*X4RaD@0z>-SOgcmUlV%Is9XlWyAMk%AOG@N&!vms}%GqSb zERa`0Q?kENF@g==pYGAD*t>-h+8%(ZNKA6GCajB>+YWivcXWp-?$}acdKq}JCAqmW ziR^*gWYmQj49@!`=DR(A6#Ac}<1U80+Z(w_xdt=XkEo`1g0-Im1|#^#vIyl=@OT`u z5@M~&>CZp(KA!fn@U+2Se^(jYU_5Z?L>mx|r%o_U#d`R?w=ACqKc;@F6=O3{+W*U> zCMZy5L1w&|<*r!)F)>4U#Kl7LAa8U8VWu`$L$(%pX9T_g3VT10XgL}<8h%5tU$HOR z#VvD8Q--Y-dw*y`|EaHlHs3V@!R!8C^5G6@5Xdk;;~NK`>HpjM?z)A02+4j|x?fAd z5+ptpUuQvWK=kKqQh5y0wJo_zdlm_4%SUW#=&-j=4BH+5Fudpf!Vqb+=cJ;BcF!j+ zu|zHo_z65qpc_9a%4Eb#>S)bUw4z{vOQ-H8%1^;r&Kk9um);$2&FKgwM+StrB#J{H zmG-}=nR73{8qF5BY%Xy}v+RPF6V>@<3qs!JoGDTzwz`*DG7~MLYwui6JZcJQN$jx zGs*h*E4BMCjL%~j;^9n5rv?91Y_%x2@)EKi410?8y;k4Um8N9Gu}idZ(3{f>B`YD5 z;*%rmy*wOF2?+3EXXZA&#(OaO^skB!~fgdXgTM6~&b=S=dE98u>v*8boavEUd zGyMrC1_8I7E#OvBG)(Nf=Z7I=Pg+C-ZLvw6Nu!a z#OaoXJY>E;{lK>FZjl|U_=bqs=NG1)B<*u)yGp}~AvcYqn1!*OuE*6a|6^HJsO2hC zk|fCH#V{%`?E#|9aahj~xKrEs;@d)t1+^++v$z9{l60Pk?x{YUZ>&!%q$9XY6W2=< z^Z3=r{E;^1Y~fDo6_mV9lKsRtYRvuAupUJJxFvBZAvJAR8k2;@4X-V2epomuO_VP5 z*$x$4mO`RUNV!qnOksYRGb4>+bh8jeCEBuMt*MheFV{FdlUJ#=WkRVr zv*Lq8QM_&jPai?j6!nVIJZ|#`{6$3M=1fDT9av=wimdBDo>{5TV*PeU%hnE{I+lp= zsX)M?lha;F@#!zgwMiB^qBaCOSunZd5ni$In(t;bujjY(Q7?M5nP#UF*OJchkf!?H zCzEETzi_6_R)5hH`Ck)NO86`!v85~tpJL-*!f|9Xh6Z_88a?;Czg29r7n zH!*gM_iEO*%Y^ayLPr>Jl9;7P8FP;{Zl;6*tBn# zkZWq-jN3@%b3mgJK3mTwQF7ATZV9=4^4);^OMQM$7~kr@n{Mw_gm=bD@3RPMF^0#G z;!m|YxKY7b;aPvTBlpqjgBo8<`SvsmQyj1j(C+2aK_7- z|0gSKw_>B3hW!hP7BePUjQzAd;8%di%Oe&1Vbsjht_*()UjR zCh<9>qlQ!(LfzKd5`{QwMOdmwc}~^x@P04_g1i+nxG` zAs7+inkH{HbR(~qTvz;xttI-+3@jvsx8k+n#=qKFM|4?s1R6S)b6Hf*r`6UHYD#0u zLzq%XZSl1$Pm6P95m5y7#a5f`ex1m|?;pE@0dAsJ`AhTnkvljD%lZEk8v460Q;;B{KHS zWVg54Ohmj8x44b(uFX~TcedLHgYf<1Ula=8LiVKRzJLFz^i!RF$FjJTTS1tYht*yo zIYB&=w#YC;BNRI(8j&>vUYgd3L78jMT)z^2l8)eWxpkV41_**|TB(lw;}KnI32C7g zI*5c4;POHoX|2MbFNj~Bs5teQ=~10$S9){hpQ+WIEoI7?MxZ6bI!B;Lp07B|hlJ3bz~(;IebwsfVo-YE@?`E{qI4yU9!{>hs+wN2s(cU9B2m3ROiXU;tZ35*MDw0-|X^$C@ zHOKS;gr^DCOSS~P4D-KDT95%FA5p@VA~nuw(>f$TFjib^;r8oV;9z|_l0o$#ABbC# zMh1X4th5fCic%ueE|8_fPv;srL{0Ggdv)s19LD+^e??=k3<~PAG*~C!)lVSYL}QI)U)=t59M9f*N)t>EO<3o59tfps z=5ZeA{ItT+&F37BU!f1 zi4sGxXbto*6w$EsiP9eo6No+ln4SM-AQiH^&OR0t@MWd%Fd+s3!_m;PA;g4uywyJZ z%xe0L)*lNuoyVKa%w`9|uH1ANo7CNmG10=`QKW~eS&nes8*mw(=)3#_@9!=8y8i=P z&n<#BH=TF>`tW$!9xe)HLJQ8ub5SY<>mMTTI7XJZI z#$Fa@$6Oj*t$ukl7`!oJ9P&(kVUIKMOsQ?*g(d6eotf4IQf^r8=&S5ATCf+0r*L|; z3r9f!x9m6>Gbi;jz)D% zUoG-3vkyfpY@sl-dA3K2Qu2|hhSIkHd*1E5oICmLjbzu1(Bky@SnD}axxLQyUFHO+ zTh@s|H5PZJ{$g`&w9Ad34N+ywbt!@9i!%D*PyZfD8X{P$vz_3qOj}zA-{ym!qP%q9nO&$@~5m0i*sB1skYbFglz}N2xaspt(P0IAe;!(0GmG?J_1) z0aE8>0mdj9(_B9g-OOo;OvWXon1rA!hf@S?l8b#63Mv>198Q~oF=$LdM9wOiGnrtX zmyBQ0c=tpJ`qEO|1NaDoy{J)j5V7XDFvK??mWEdHFP1}dJ#gVg=ar8-_Sh4;lCE2+j6h{O#h*#UFRJ}>N||R_t3OC z=WVgkcH`bXhTYYf>x9`5Uu9dK88m6~RjE+G!tc$HN^QA6Af{r$_o%j~?{HR*ZDt<+ zYxOt07h*Z)1?;~m$zsI6`wV{fx!Hb3cwb{syR|y@&faKDoJC?3L?irvFGWwAKJxwI{h|bz}1kc*oB0Ux`eqTpe`)|r)uD?0Z`g-1Pn=sZv)jFb%44a`PTFo`oNH=TjlHdrz6Ot zz643rLG{i2x(CpR>;FS7fZ4HdGLxLEf&2eM0V=9zI5pO4U1X_OsC&{%J@cCI2UhDl zk6c08tluN~p1J}9ZsrC`-r*GtJ^rf(T`qwkpnuh#EdD?vw0Ea&bH)G9{Rf}77?A3= zlIUEWnslst4{5{e0@Z{$SF>s9ioC1-1w+EGRR|O8=4({~ogZ z(N}%T0JvkGbx{qPpZs*!^{!*wjkPucJURc9q@)(#fzZ4tQ`%v{^d|EPKJz8*X+7ma zj=rkeqA<(lvF*DLP|v-1?XQq_)wwWS6VLUO-qI|mG#E*1rV^^$ooIkj-LbgHSzyl2 zoTUGagRu|R_;D*a^1j$bm7*MV*~$}zlk;b}O1N~KI_YwqSMl!j2NjqgiKK;xMrB z*oQ;5L240{E8t8-goHItwF7EH5r`fc+6~DVJ;oraPMt3`9JI-fo*SmI#o*{=u<9eO zFx<|FH!4yj-_h8N!`Lj zMCzU&UwP3Cfa9a1ZHKql*hD~Wks2;nf`TGI?rtv(P+JXN*rc+fpZ-8}wS<>YBly|w zGI8d1V9|=YIz4BR(sLmaR&!v;P{IO*BFUqX>gb_`m9Cb%Iq+-x(|5-L<}#j}V5OU- z1f>Ictt=5Ud=v_mjJi=h0q)-5oFKajM3B=Qsm0{E_Hb0?`y_%XY#e3CsLOs;VA$&_ zfBqSveZ#)ajegNdLAMcPSGa5_>`zS3UeXtY1tk!d%&lfV+q9Lp^b^Dc*AFRMasp5xQYqGe_gEHOE>YRir-C{Y9!$Q-G zXbE^elpr=x!MUjfL=*{DDA{@aid~jr@FsCTAk8)~eb8r0HsKm&OSZ34jmwlGU!i6y zA)zgIl%PhbS!uq*s>xKp?KAYXLWy$dtx5Uz8OBhF%dwZZB`|JVJ!04p72zVND%*f5 zH%wY+wF}pzQSf<{J5nBAo zRGQc8-LjQwIoY(~Hwb;Ev(>Ps@0a;j8*^I&cK(#}_()rb9a z@G7`JoeCN=%C+>5@vnf+Dh(5)-l(ZQHJg&i#n~Z#L^cgHAZu8=;Ja|~we!4BBVhd0 z*XZo@I3Ebr=gH1W)o$;@i@!*_mA;XAL`+w&fZr+X+U4P33}$e*V08h6z<2weBaJAP z>l;LEtxRxqQmj}IHiSPBHLQ8ZFf6n{5|RpMi8KtgD;^@XJ#WF1Ql50qyX!m3NLsL( z)^6dhMUt?BPYx-q6u^BMyt{N33iksT8Uf7rk|0u$xR^*|yaO|{H9zp^A`sBP(7KZW zo!mI3;~;#Jzqi(p5ukjMj~NOCbfKgRKKCH;XKQqE98mH-vWlwyH%U~i9aOFaTy#w zXU;yktZQr~iZVA$&p{C!?Rett2)ppJwvWaw-3& zb*(~*3$nQ^W49#5eKfc#V^;}(JW@9!EDfBBlI<@YpUMzov{&6#-|E)N3y-DLjG7dC z=hXdZ-`yw*ee~119wEi;te2H`K2bOG3b%d_@k;|ZhZ&Cw{^cui!mCDx%BDTHRP^^I zvE64u`(bXjNTRq+Hq5S=6n6kb%%dKU`Wq)6^$?Q`bvU3w-BC$zsOawsV#?8VS>0kJqGx@NHGzcPi=2SCM+t7Gn}tYu1&r{UV5p%%m&s-cQrb0QS^LL&V~J^ zwC=Fh1IpN5p9HaEn=J8Clg(5@@J<88&m<^2toBBldxilAmlFpA%?c+9YcrCD6wKTLQTk)(su1iBvNkVdqVcILp%SoNa#|JYV9$?PTxSq%6y_sj>{MJu_l0_Z_$CIG$Hn z4J0BOF2Ye^aMt*OKdgTSSmr)j+rpqPWsp0 zS@2)u)#?U(@5*F?ZwF21X>e)`$j z0>WP!S$_&wpnn&u9~e^$Mvj;8rRBf(7?`o0RcAI+__<X_r*T5q|A1bW*ad$oOeoU;mGZPEOBYkCV z91Q^|lA#Gh+^U~Sh4&~^Gucedw~ymN$dzqmzSCFdWA<~TM^^YD8ez(-`yztghi*(g z^J}{Z#8$T_Q$XfPdq?$Dck(KeuZOWFUnf}W+7`{aDh6&u5N7d1lgH_Vzz^uOv|d-* z8K!mQU^(G&S%IMIP=>aEM8cY_H{dI4Tf>l=+0TRT9~myzIb;p>KvPrVs2>YtAYd+9y**;xfDGvJHGf;|?L zjpR>R(%~0)Q_V1=DwLBlmz(7Oh0BXY?!uK%g4OY!jQA!?SUybtJQT4m8c6YB#}CLG zpr)T`6fcrG?dj*%MCokskgUjDDB#4ePRv;qDO+f^Jdg6nCCh88-M9rj21`Jh3>S>X3|HnYWASn0xfGrl~{zghGKa=qP8Io1wBAB8OKmnZK^V8675)z$r)NA3py3_j0`w~terO(%Xsd;8%x z*|yDtFy$u za=6?HQ+co~0=pt;Om>Z1e{kgZq1|_m1ybbns5qzGYV9YcO{1?T6oh|XMGP9a3g>&W za1Sz`X!c(Fuy(A*6se_OgXMScp-@9gLf%*OEnaAp%hU7(q9IN5_f1n30^1?y*}+|_ zy~pJoc#%@oO;pqzA9$fPIKfGFzis!^S1t@+)lnI34cp{Wi^;hg;HA+|P*C!ugFmA5) zOzKq+;oL+&=}nGnFCxO(PQtI0|}{2VPCvY*=83t#=HqMvgwCFDp~-L=W%lCb}6vOL0lRfqRD z@r|7me-R%q9=JD;FzrmxV!AGv2fXUMnfWug6he+QzE=8R%9FW7)&+T&_kleU@{9mQ zUXgONQi~_mT<6tY6-tqnZcn{{^`W}$Vrs@W%^!_2-~HG?7k{w|yBUYm{@9**1saJjC05TP6rSha4k<;9%H zLSNTVpLMzH9ck@N)9AJ^TBTuHyk7FB`E*11E^TjW2IMgffF|7UCPrH2mk+~7T8lmJ zl~@_xlzfymP<%845J|YQH6AwbjBH}ckmQ&-udADjeI;V_erxG$yOL#qigLBh9)AVZ zukJ_=#NOJedUjiY+ERmf3+x|-GFH-IJU^nXe}AZf{1 z+w8_-ojOF8ysOFtkC>T43e;uL4^ldo+MqeFf-6w4A8}bLPOyDHm-PMpH=m<)KQm9< z>t@1;|FfV$nHtKN`a*8z=Oe|rSW_AlH)GE?IQI1R2la7A*Z_yFV7aTVqYbY$>umM* zETbJ0ne7;V)r-X{JAb&wa{L>^mnjF!<5e?GqlE*hqx_R(9BSnvsYiSn42r)Fv_R5N zI(#^(<*7}=)eRiN6+bfG9cGNOBUPOeliO{EWt#VhN9Y?`@AjYgWoHR>VH!j|{-Vve z^?AFqH%}ts0Yffhy1;;)+oRu=m`g!4zzSn z62V&`FH}#+per&pO;YUd)o1P9o>NnlN@M%FmPA$czMl*4F<1!)?>!#d9h~wzIZbw# zZ=TaED;kJ62EkbsK3Swu1jVgxX{}t%luzIxwI0~bgt>k!iXQDhtku&X9t|zA7z7vD zk}8|xT%l6ynPT+cX^p_+P6Rb7Hj+=58E46L77Iji<3DYN;Ox*=cKnUgQ$Nv56DrN0evp09F&)8et?j`_i53pM)|-qFS6 z67ksH)NxlC?J;A+>gtFaek9N~9?{+IyHdDs!5Cs!JfJujaSJm5COp z6A)+aqIf*ubS$t^l91DAB#M#Ib0*a}maN0zg~E|$Wy>`C0sBL*4`<=RV3xys0nDj9KBl#AG^6O@aA1>2%aCf#yMsNpTvX$Ei-E zBSVav8|GtvPu}I`*1#$#3ed~;YVl~4KAG9eXtHurhbVd2cvIOT1-fM0;8iazIr@$? z=TI9lB9uc+9<@#DB)?C+4|jUJv?7L+()!oSeB&Po#`K#Z~l7SAKPSDh>hl& zFn$yfPrLl#2fY7Vjd4~&VK_G-BC*nK-9PUeeP*^>(MjvG*e{K6E9@2V40XD1uhadp z&wTn~O^v6WpF-lZ_AW^ZZ`51S*BL^1n}^ZPJ}1(Toa&|pPgsRU?X304Z_Y|xW zSSk1v)<&T*jYP`o9@VBXV@9)#Yu;wKeWqU-T@Eu9wk2=rxY@~xm5l2#Sc<)T3Odxv zRZ6i4jsBBmeY0&x93J)t=M1gHN{jU|EXBuu$Vk%|m=_w{G)6s%UqMapZe4d9JFTxk zDWR5y$i!RhvS$M=`3&pW5ZYY4LkjNJmO4@ zJHg=@#VmffWUS&Q0`T0VN^pO?>Zt0I{#*leeO+3{O!}ofaF1}VMzaS$Nl6-ym5wR8 z$9b9zoidJTF-UK0zT|DtSZFE@ZGgzkqTiR zQYQz|Ft%@hQc<)OeaTVM`xQmz@m5{PUaEZb(_*r~Y9y?leyUyAwgc-{cv;2sIPd!BOOFwh!_h0%7^T{xm>q|gI=YtZl#@v*_54r6Ta=sA9+;zD zA=hsl5$^-lIrUPS0}(+_i>#MROMo>VL8-(8b%@W)4!uQG8ug zG2S+NCrw^x=VA#>J|U2I#}yNd|A zVLDXi`|lDQ1j4P5K^V74{_D>3d-gU^tKUNr-TO z39LtDph~fts?vUo^E!IPs9YLVz?Ksfs>^w$9+50Pj{f(WCL{#s{XLCjYKy$uyK6MU zLZ@%=FBgED+NVZd5q)KTR#b}5Lc~emmm)~t6Hva0|9p3o(q&(UgrcqCq?_cS&Uur% zu4OZfdq~s&!+4Ri+}u@0jpHaqP2`C`SbkvTsoctbB_1? zEamUtJ>($~*UI$E#b=W{Rm?Nv)54JHmC6Ef;%kUO*@8^W8^xZxIix9q*E@K8@T}44- z@Kkq{dgBL1b8tBN@YiSGG^HfF14Va*wKbCL3?wTQA$ z>&{3elws;7V+`>bHZK?2vVF{9=S9@PEd--02i#`C?qeAqe7J0XUO|5ULpOgwpZ1p{jaVnEs zwn7JAfuXM3Y1TO;#XvHbBo69gID{@a*;843E(jP((-y8YVrr9fGsYbD1}&-eQS|)@ zY{4z-os_dG<10JWP}kz?M?Ra?1{5kNz|z$gRkm@s)0De6<}r)e2sqJP+l-!9C`dD8 zHsSyqBSpkEq3@e3IdE$Kw^MC)I|52Xri6-m4}CDkjg;h-ip{ef@6Fre`Kj4pm2aA< zoGLSC30RMAqzG>@><^X}9c>ke*1kYoJ^TLdYOASH3WbJ2F@Tjcup6!D@x7ctErP%p z?)IuphM?WH9(`8*tF%@qv^10W3(Y2{bnNG@XEgcTkjw(7zNll``w22C0zUQVwVqiI zPgARW&K(K@;$DUONohYY;pg+UXV`k`@ZkBHiwA=gAZ~O|Ew$8KB zoqU|s47U6*GyK*&9bX5ZsUUwql@D0p>JYq12)#}SMi%Oh3K6jByK)uifno0&k@wZ6 z3-k)Jx&s*B-0T>$j2#CYpM%jG#F`JUm5b$#-B*eUJ-vhMuU)E*EIjY6s_DE3fm|+= ziB~H&2kucw&_H&w<&!HnQ_v|S?0TQ{X0tW2&wvq@_A1Yw5U;XB^_>y;cj){0vVKZ( zIgc?zSF)@XrGx}2k+QfHWT$KVIP$Si!n`Fvu)MYoi;1WV*KW3=AB~m;?qEh=&>15B zxdr>i0#!y}!|H-M-5w;{P)-0#9r}a(p@aN@Ih{!|pobsCCXMqo0W0G=+wKhA>d)S~ zVA^y}%wK|QK57ymNBu}KA}23Rq8wD&fc8h+v4pJyIBw{Hhe1HLzm-t7|A}b3LH{kI zW>o8yMKl-SAu^*Q=~goVHz&mlu=WCzNmP{c0Ezh9MhH%3@0C8wYYyc&=b?{F?F})q zi_;AxS+3hsdKcDmqnk|)q;;7iiu=9yebiwIu!r#0FJ@|dmk*ILqwgaDI&Urnp_n8@lxSP|41Bx@fzWlyhcW^CP!bY=A#|=p zd8bp2FY0@ZXtw27m!XA=@T`KQY|9fr1*@V#HNI}BnANV2*F$(j3n^B%>A{{F-_w@S z>8tO|6yYsyvZFa}kuBK#?bADctd9?xHAhz~+_Gz>*uE+d`x^6fix9b4ppQOI7&hgs z=H4nz@wK`;wRK6J?0xfAxi0nA5{c)2P5vxaP}A% zw9_+P3f1XZImm|yeQZEt1|!~&v4I3~&`FAatyGVrNrL%Kwngcg-lcZn&vYIOOM%VT zqDW*2fot-qbueP^3q4a6Odh9SB*#n(z~ZF9y9wK|8_ajrLvsSA_k9sM8)U`75^uOS{jev+%8&Ns z6Cm@lI(q@gD3VyWCwMH}Vif8oAnC8SwSe%D4~eq^obC-EBEHa02A9PTS%~jKrGC$V z+EE^d4WiE)j7T&OMv4jLNMl9G0a}&#mYyldJIBWxs2_VA7KH=sKecur<3#qa`s0w6 zV62)%bNsLRd(2b!Lx=b}6RrMSZB6wDs2`KKTBCV{KQxIs#l|Bb-OWfo&kvUI8G$3J ze@$INY8zbl(GHHLW-bi474e72`BVWS85UZqk37e1igclvMJal}2X8~#ib;V?U3zW zEsw?<{agaQVJm;DL_o6iCz(YPl8P#a|%CO~# zqqJ8<9pD&do#x2*1S2d*4nbL>JtZ|t(ayKP5pQ@J;)grluOz%^PXa&&qUyv8o%goOtPv6_b~GmRKiL0#TVa_lXWP>~;P$ZZ0 z-ViW(shsrz8UDqXB|Fua<+IkvtxtrN4T4Uf2($t9wx0kSa%2iZNT9fNY#od|=`&^_ z%PL2R2~KCJ5GVp8FtXSNDf}nk2uxjC8Nc8A^ickN8*WZV?1p44aWGQ<;}`Y&NzJ@$ zNFc&pNqo8Q8{E>E>RQP9O7GUt!{el8KQE&T9(DXu2ucrwM zRD7pZUw%Y=dW!C2b#PJBVnNaRr6b74_a+$sY87xIwEVlVwETQ##wqRcny2~uE#I$sMevn-o$a}C z2k~D{>IVBZD79izyA+HM=V4kmNd^Q6q1kp4QYKHL_^->CYDO)@4(}3D7DkQ;eXE|T zGn@CcSe}abOgS`;?Yt9Ac=@@lH1agOHL+~-llzqI@JP2X$q+uy%TRd<(5jD>n}}r$ z(mR1w^5|*bds+F1a;$k3tPXqU&!T$8Sy|{#x%k11GWW<4bIkC zg6iz}IBhU;W#(vbzp63#n`Xlsc1`~YhfXw5E+T_{gNH%!4p<4A%tH3fld5+56qTF= z(S;0H!cR^;r9iw8!R=s#c9d$wWn8weY=LejxiKIeF*lAmE+VcH>|8J+F8bv?=O~_l1KU1v`9DEX(p*NJx<8tu8oVX`Jk>V z%tB@@758?pXpH(1FoCmuIc_FVXU#nF!V^E(;q>6G530R2fc|Jt_;G-Bey^AE?Vhos z58xkSs5kZ#gR0g_Gq_ICJ*)=)BK+H9z*{E3TPspaz_T)1+U45L;9)QB9Kha>n=$=@ zYJn;gP8+!LlQ8|-q?dc)f_z@T2RqLWiceD9BnlIXB&_-shxLYJO&btDT@lZnTbf>}<%XWX2HyG87or2@oX0vm0GigJLOtU|;J7|qgY(x6W+!gMj)l8=nhBww+Pp2G?7m?j9 z0urj23V9|+wrX{BvI!Pi#eucM%9mM={6*x1oS8i0owW=l(w`CP`8YJjblQJKTQYkF z4i9EP$YgNFiMqPR_V_r<{u06}R+CJijA+Rc+5Z+pGu)LE!u0+`0>(yYGTuqEeTQsnb1Svw^)ZbCAM7YZXw<&I`1`~u+pPqmQ60gQ_#nLdxF(5LPatRg2^a|QBl)le8O71fNuecdYR99aX zAR~wBOU5qty?>Wkdh{9cQ3Tj{MgrC`D(Ts1@qIa08A=-IUMB~)Av|ny_OeWWQYANJ zL#TXe0wT6oC_{=!9FXS2_vlBG?EBl#h>W(_jVnd@48mu!Wom;3-qwriHjdCFVa`62 zf(EHTGPz%Z>^1u6n1GF2r#Z}g%qcwwxyRSTYL;IHd=oa-Spa-MN=MXJJ7nYFmvc-1 zch9>LZADmWEqsq+Caf>k_B|EC1Ah}>pWgHLJrkZV@X&2hN{^QyyN)<%E-++=wY<+* z)P)~-hE#=3SqsJ-c-Ca6QKBY^PO26Q_WQqWLylBQBNw}_wq;6w>~5|Fah-j~9F*sQDOzP#4$$omBkyNgnWn~;%g-3^HC*xU5SO=nD$4aGA>Qw3{V0FMhB_GX z!K3Q%_oe+}bl$G6PhWB2ixzR7oSX8f(hqo1#ZXX}LhNT<#aC0EL)LkP9kchLdp1bY zz2{+ABn&GhtrVIm(u?}FWe=E%AYTT2`eh~uIOvz=NE?+XxvY^0uXC}wb(g7~nW!Ds z8pJBAe-4}G<*-vPp#XI}mCDplF$bROz4~MKH_yJ<^)xl+9vrT(EV=&@Ydya)ji|}m z1z$EFxYxIC=qvJY*4pKXC|pK2RdK{UO?Xb_x)772XjgAScG|76w4KGE5@7IF@a;Ah zcZ@Pc(L(|WfjKTvZL)&hT{jSn_ap2g#;xIVN!{*nl)kY9%TO+Oa;!XZFyKZvQK87z ze5dy#-;{b;f{mSQrABU)ia_FH{}|fmxQ@kG_me`dQU?o1y8Roe7YisTvsOT*lJ7RZ zZEG{U(ovh6KrO~$Kj$bTC5E=>6ss1b^k(S(oGO2dY7y-pqn&5uaH=t%mrkmgSFVl) z9XvfXd%DxQzSy7aq<3sDbLj;9mDZ%VJoubQxEz)AIxQCCwLMDLEls%(e@$_^lZV_! z>&Vzff=AE>^sq4oy!)C+tI7X~rS>}%Zg(uFcU_=3$EPl{mtOXH@#F8ry#s%Xg-42M zgGJTEwsM}2r|?P!(EO_U^8_NYXCw9%Nz8@Iv4VAZ?pkcC?n&>n62Gc=mDwo4eS;yX zfy#lm2o<4IrHh<0kdYYCT5M2eH1ACPYP&q416*CsVI_;h0@%donT*A%loa7TR^ZnE z%qO$^zqaq)^gc_w%<&$BGU_fErik@FOB)A48Gw^)miJgUM(tgHTg<8cdT|Z;k55G% z(#+R{h8R9MQ+PPAZ@1^Y^A>|%?DFz+Ue2H``7#B2W{Cgff%+EgX8z<+pjvEOZ&4y4 zgEb{6ET7x`#Dh-u=YMTcO8&498Dn$ij5W{oB9{wcIn`{xwP{y3-|BNt3fDF5m;K2T zq+}=xaHTqPn#x-zN->fL?HWD}mIMg9z~qREVt!!t>n4BztUCJhL${{!g~)J43O0I3 zyq#yl)dA#}W>B*u)&3os2$z~|o;AY7>k&$~X#2Hyip9UO1*|pU1n*#N=Qhlpk)rNY z2941A=WIVpHRk9&PIbqJ@gK73c7mn8jqPq$>LzqO;fXeow_7L1nYK0)dr=$LCHuW4 z_^d+i<5V$&kveUpP#1N*^0#musUs2tdEum08Y>+qA2=)FYk?SyXpQZbXChlna%uxC zJpLoX%*09>7l``^@fUpUt4|XT>6IN$*RN8(1F{vraCMY^+)y%~bhi=i{)W8^cd+4i z6RD*>Bu|LKW-=4i1ka=hC**J|M!kQUD50$a+z@S7EV-|;?4Mrr^B$%}$f7mlVG+Uw4=|v)Gf{0UsG)br;;kLD?v7 zIFktBC$WpB`cm4KTI{PovwO~LB`DPVV?)CT0>MJldlSH zcsyUe{(a}ot1*;1rKQTL`d(ePwpa63z?J-_?x|h14veHF79LN_94-5xE?a)raoo&G zIb1!pIP>c3jW*nmxYZHqEIjd0fq%#(3{k0f9sv zkeuSjeQ&h&`u#Rp5Hd1&Ygc9|n+rTWPBfnliuc7RA=Rns!?WA)zx26&g{+m`xd}CB z3N7nhYDfIs*Ss}_-Tq0O3e8hzvij!A3aXLY)wCM^IY_kDn$~~$*2>QIK(lbsi?HuX zjR_;?erFbbjO?9LZ*8w}`KD;y6$V#^)8Cx?vvG_wjzwiPnyD`P3-_RwZ(BSR^n-gSMsf!khQ+Sp~1^M`GBh-xjk0e$+r;w z5!%|qK>SUAx7U?Dm&L_xRU}fPBqG(5X}1U~NaoS3`+Qb(4t#1oLZEqvY6gE*R%bRs zvw5^7@R5|VERqKT9YiW|WJbXRkk0GNF%WeD&Us=+Js0M|uI+@EG*W#6rCqAO&l!Wp zaw*oYD0w2Ytg|Clxe@*5Vwz>(B#|HzSu43Pbz2)p=oh9tB?8DI>KzRXA#Cf5Wp2&+ zYAK_GX?fuumKw7PEW#jPE%(gMeAiqy8mZTXAJj_E?XWGIE)sN~>|=V;=OlfyaiO|P ztpqLMmQ;j)4b84D>)pZAA*Imw`f)OwEm9^LHARE)t)Z`fqo-=?wuO8rf{RH1^)gF* zD=kZ$F7h67g9szkcmJwEl|U`y zO5?w6!Y{>FTGMdHS#al$YwvYpR+Fc1f8#4UD-rX`ox!EaD`kc z9W2k5Tu}5Dj8N%VZ+Cqz0-YjbCX%~*^d1;@hSkpG(XLWmfekiiJSMy1KrOw5M-w2ZT zzI^7^bpP(BSR=unU#WxMQ4csp9{2CtryZXbU4LPwzEV^lsa>BP@n}HtGkPn`>c6s` z-qbf*L~Ps*AClRr28-j{raeHo;(c&bScw&O4KBs2cHFX>YFopi4B2Hksc!m$PaPm8 zsnQfp87#Z8god1Hu#!~Yc{!1$mw(%qw##aH8=!QUKd%!Gtj4fXh z3Ft_9wam|5eZWQdN#(Y^9GLCV*}6BX{Yc(a$FbJTfU1P*y1MsqK2m|N*syk&#EPf* zscaHtK+FNYq+80(sI;{wKzWMK>_#nT0jJ*kex&xCbCIv7e~Mbi+7G+O0w(^;?-7E( zm7p4K4~?Qj*&V!0Fna~;03B5%*1{2QMcf7B3B$t_gT$&6$cugPkgC9Lj$+@Q7nrev z2L`I|+7H4;(0(6K`SRNEVhY9lmN}6hwl}X!U2z?D6}D(etI{92Xu)THA^p;oY`#xm z-`vKHce06mU_KlsYPz6z^zN4whqvQcU0181;xRno(~MDyV}9QmgL&#PD-G#-c#)~d zBW&X>JnEgs1m2BSO~~QJ{D(kfmH>X-y-QIsve%(TY0ggf$iNz#eukI7L(9I_@? z`=OkLT`(wcQVGq8O_z76aXMnsS!@SA^m4w}_2sUX$HD5Hyrftw~pB?9OD@AyBo;e_yQBWhMewm^M{) z0ZAu$tVzd-;TW3W?=)qId#+E#BKw%7+d?+VSt`X& zViXipcD)+^8JPT(|62G<(7U|OpmzuNY|aU#Ob$8jAl=%zRYQf(#kB-MIi z+4Hn>ve4P5rStXm#^tghJ8Wb6pH4p~pbsTvT#)b?`L8Q}^~Oo&lsIOxY-KYzxcewK zaGVST-~$$XzXMRr%2QqQo(BH0pcmh5NJc1^t0cZ`QBoc{t|X(c+VL~bV`!5}&xy+6 zeAvkP0EYCBB`PNAOVY17fVT#_mz8bYqwPs!zMV5DvwBun|-?bQA}%_FV@S~ zwIaMsBpDYtJhj-T6|cfu^sQqYhYU3>l9f{MPVS{3B|INR%nRO6gSJa1d#PmX+B_KA zW20?KQa085F{1m=p|zFa-1ixx(|$s6L%vA@g&VEm}$YB2afPV9K=MN{j#N^$hVWT;o7 zo9*jP7U7YmTGnNzzzUPhr%E9px{WVIG7<3y?q0rh>4Wh#DO4WA+`wV!~H`= z?lf2bb$!H*8ugX7q1z62Lvstw(RSN+PYYEcka13=UBGqgx~z}*u@Zfy_^)A;$j0AC2Xo~T3nT}Hz_6KvEQ~Lr0svd zf3$3nx>2<5sIo!b(8(Vx>^W{|-)uKou|Kn%mz`otzj0>zwax=X($3 zT`I`1I3{up_VOCK&S?>-J8qmej79w-=NxWvpC5nCViWmVuBz#*x9r@v<@nbVPMp@h zstPV@qthL8>JBgX)kSwojglOEZZ*VajKIWozs0+MKqVv~VXoT#$I&5C^Zw=7-dn@X z0aWwYZQ-X0?5-??Fbx;ZMpnURHaDIeC+;Q+8+)Bb4fCgZnPdZ4uTcN*^UA?1qmOrnr@x&MMo-#AR@Kp8X^&EAZrr8X z{_$HMRei!R5!h>UE?|1tV0NkeciQls;U&G*tYCCb%#V4)3T%|wMl{Hax zClub?2ene>YAGz1cLMK!nlfk*_^EN@zfeXwx$V^Qvp}6@2vPo-WVa&c?7g;FqPB1M z01YwuHt)hZlM(7y?LzS8lFmz*wHNh4ZA&20qgo%YU-4z_9lVCtT3!!7h7;{Y$bEWE zH+}w$|RqDW?Eqw@@dR2grf6-;( zFL!)axhK~u=*3_}>sZfg-*cfx1OK2{Dkt_pw|66A_R}D>B=3ldUb9#4K!U-sQv*0^ zrxgD%DIp`1{#=SK~SmSw?0 z6~p~hOT~k)4STc=dl=Fl&l%R8Do+3Q><)|E`E{ajSQ1C$O+z$vp{sIlr&V5?=j$IvPMXA0cuVZr(#1 zLz6UJFuh=f>Pr#hA3Y3;%uIVw$Luj26g%EQyF7`%E&S+8C5_Wgv;uz-|7WV^^R5Na zbl;H>G30#qNQ}eL!?{8+=i{K=Xmh%j)-#onI#ntt>R>MasOd)c6yK|1yzKXx3fa{o zEJod0Uu9<^@ksKTprVbK>3GlTy6>Y;=EhgB4E$FfG&51`|i&QMc0lMiG4U9Oud(^q!a3-mXlz&d_c<`a4e zxiiX&s%~404@16VhsC|^1-?6|h{?eLnjk!=-7a4`#jyHDyFF2*{mm+Wdw)#nzRc5t zik$DxIj@X{t?or9<|9FCobD-U3kFV4Li2Rv13R8}JfDa4@!?;W`}K7-3~ zCc#F`SpDOPc*FFbIIgT}A7Kjd0pmPT}n%X_@$Fl{O}c|ASX5O!&*fZ}z>h6^HT}69E#29D zaUt;UP_|IC%J%sq!NN~Y3_=S8sh^w~g!XC0zPeEpc6mx8H#$<}G%q_RJ*b6<4BrRu z3;7!cs6Tb4(KnMUQ}uWEMk4Js(U4-4we^<3+jrhKJEv{Nn}Ot8c7iw6T~2#R4VH4rT@UK^|?}@8JA{CpzSb3BS~wzdqRl-~;@_U*mJj+b2lyOG)_!q=akYqYBsSG+QS%SswLX z)ht&kTbKFMBz39I%C6^XbS!l1&Ny3{eB(C5T*B@)xuEL9Qhv6mYu{!rm^6j*J1g{H zZ(bl+EvWh7DNM9h>;?Ba-8rHzekS^Qbh+qecIZH|?JQfp?UKT4SKCNX?)SN_$51nD zpBWDKE}6TWi1xNyYhKr*Iq&j?5MED|tx``8(zp-p`k|QLij`-Z+JS$jwRhcEA-*rr zgBSBL!DpYX%?anC6NYI7>TL?LvFuEvJigaR6E>5ceU@m-dA{oxP}#Cofi4>VLNxxL zp&S_1jka&c^!W8k&b{8#L?7sCY(@_%p<9vuoy9>w$hUz7)}xM5Md)u`6~1*N6y7JA zF#AtJ?|wVlxhOw_=bc8Cai+gxW87P*BYIL_jlBYBIp@VsBYOcR3nV!Mu_Q^|_l#UM>yqr{#o zAM(k3^hiA&&Gx&Zy?T3EHnCeO{>X^&8igEs*LfZ4i|an;dP$Y}!cacUre(7#_>Hy% zXREB+qls@kYfgx85+KG=O@jyj$ujGRp#UW!a;>>A|FXZ_mgVmW@+NA)_v?U- zWAYar-F5GvK~nrA_&Z$Iph|3HawPufIHO<||c@c+?dP zZ}^nHaB;bO(IZLZvQgAoMS)BRt|mEpwCvGA>Qvr!Z620n!`(Jx@>FlI{Xc7pYV{{@!K%34MlxcB5SgoIOFuYsK*K0^{~4T3^YHW$gFUjJfw?dbGHj6_omI=GrnXc-IYRMh zqWQf2LVmdDb#XhXb$J(sjha6@xLgLOmbsmjX*vsC5Aik(G;M-k`^FxXRr6|XDR)9( zuDUUp@;cYB*sEUW;{Kt-w{4VzhGjjAeTVW)$56i<%#hlSz_o)~V%)KuavzIatt)yO zpEhLPL9UN^2H)sne1boHJ*ey`%C($w7-m>vVvIE$4G;f7E%1`llEDgsweP(Ud-U4% zJJn3@n;Ac+bp9+-*YEnI1KM`N4qp$~f~LL)X}fmZ)FxVnrFYxaGt_piy~1l+>aJ>; zF+tVVA5C1@xhIlY|8K|6jR&zrsDa^0hZ(~m_FLFGU4G5!m@FGum_LB&KRZN&1dvhW$;mS^F&jq`EJZkBk;&TPMiGRbkT z8_)~GCa7EPFK5lO@1)Z2QXLwnYD;Y;U$(vlBjTmRjA`v8PI~)wlB_}M&xxTff!?RJ zWELJiUv;>~AD|61>a&kKA=jc*+^25=sexsR?X5ptXP?o2w-XHgb{G%%#4ro-z39O9 zjrdDDJ~2!_6E2b~MXn^$6A2*UE7=aqL0RsN6{SpU%^!&YoX3;0D1E)8^e75= zq@pPkjp7d09#sn$RK;&&sU{AIjbVCf?a4? z#f^N zE6y~?UVzuTBWa0pxY3Gx9ENl}sehY~?D0#la%4y8v7<_n8!0L;SI#q5n6bh-KQI3z zj(Z!O-W?E;Els)=(9<7m9~z65_L^o4lTe6lgS~Ffhe}Sge(i_p^;ib+98ATOwnvQt zK;v!;L@^J`!MPG(+fc5XL%6h4&A~L}L;6{Zi%H^MXH|kVwTvP7Vbq3M$PZl+bUP(1 zV;h8WjzhRkfr}r=riw-2K(N_-?fCPsc8G<3Rb};;W2^y>iqIKTr>7nNzMT(Zn z`Z7X2FrwuLQb$yI!E_&Q8#{z`|DR!2G_tv}X?y4MfK(~U;PiB5O=oJawA33u6-h1Q z_Q<-kyGq(^Vk~efQfIiRMF96TkggAXVOE=dAnW>QeyGPuR9UP5Fp~A>O>m`ab-hkU z#zmFQl|0e4@VHCTe8-scvwd?!%O-ReU0~TzU&CXCka{xgxP)wDzbj593-4=j=s_9k zgT>z1SKc;t$8oG@IXfo2-I1~jztLo7LRlepW`BRn9`MCcwu-2NL8rz~z(z*Z_%6bi98=0<3@MkRJ%&W?Mvrj#JXu3GydB zPI-upE5sRUYJ4l?@0{OK{sc8#Oq~Ce<+eoJe;^qi54!y;aD6;sW|@=3)wg(J?DRr6i>304-+$loSRrc81#9NgF#u=$NI2c({1DxcIra zxp_cbT!Q~F*aNX-AWo*15L;vRzanZvoo#>^8p;wpbgDKk7IdyW0JkR0*ueo}MrUqp z;{^HFocw1gq>P=7ZR{;>JEjHD4gcsV`n$kN__(6O)XJEbjjU5YDRo6`Q^rSNBba%R^ z9tCM&5EK9a2mpY^xCaHjQ6?C2004mh?B62*TT5G07f%OMLk9;NOJhS9OM5$dH#-wL zdqZbSXF7WaQ#%uTV^>>KI~O_^Qx6w~|05WWxQP5QH2}bWhVd_$iiNAKk)5HXjWfN= z|90sd?93w-@j(g@uNNgGNAt zf=7o%!$d~MMI|JJMI*(;X2(P$fhDDdV7UL0C7sW-8pukilgVmsJQRpc_(5N0!JQl|FQ;FJ)R5Es#uQ&d-#5LcB@*HMzzP}7i=8wNw!`)6j6y7IoHDGnFYHjZI8XiwsYR`IDIxnVy!O6kV8|R$3Sv zUY;CTo|f8@8rGbXUXop0p4-rvmtR$s)>a(ZQIgrykX2q@URlxFURvH!-Q3n#-qzZd zoIFupIb2e*SXH%L(=gOp*Wb}P)Yi7yJ3KK`9y?f_Jkc6H(wsKmn>$cdIZ)d;++I4= z+>Gf+M?(7rTUwmR9iv(R-r9dI&}akLnIzL9ydQ+2%6 zesh?8zF%{8(ENB=`Eb$p`dIOL*E~5iK0drS-#@v%IJCC5Ha2#!{`c%~b9(>p&cXWL z_1Waj`QqKh_{aO$+uPsM|K#!R^77&K?*0Av=jTTj#XAB3fFfT~L{P5j% zd(0L~nMi_~X+>d7F)7Me z1<4nd>Cs#Vi7nSr_Yut)WR;I$mXf~xD79BKf+pHg%or+!8mDcTHxo#dQ}64i5%)iA zc&pPJO~%QFbV!~+$2v!qc7FUffQu6 z7g?$qyu75ARKMRa6Q2cS`Ji4iOIBk|4 zgJkE9mig)Hp^Disu+h+&;Y6TX1!C9qPS@QTeVelB3YB>}57G!%hvNd%5m%RpJ}Ngh zx2+FL=5DXEiqbbdKppNkq#Z;jd*R(4}jWWGmW-4*Gu4FpTmPOws|d(XwFfa z?oG1)?7Qu8<>xqXF<#61VF%dgM-zBmsffcgpWUF`gDG|%48^zTzFkG`J#Q?ZjctWz z5pB>iKuVER*nqElLIFLyZK{W~{hdhr`3U#_t-U}fiPnhCFwDQXF_%Ot(`ERq3xprc zp^0|Px&^{~K}fuo4a*x}uE~Ah7T?pjBKQ9u@_#$F_y6qMjgJ&MGq}a^s{Z5S24Q3l zNfai5@3vFFzL>^s(>RI?7V);8mOv96w5vkY`RenuaC<=h`m;^}_x}7(UA6%-3-44O#d+#Qt2%anrZsU%B(7sXiGO8b+_Z98`eRi1F^Yruk zzU{pGJKDTi>RU8DyOg6Fw9%6mxV8=FlPHi~U)kfULzeEgo%lJ$RghZT~ZgYl`ptR8=-vy6e1V%f9Qj#?ChSq0}bfCtR}to+=vH z-nLochNI(#dyw4Y(U{qQ=v5fk?v+4(~#?x)1sVwSiXg2D)w(E6U^!u^!ep8^je5bpMUfz8Sep~+1?`ty3G(cxX zg>{6PiMY)1XEG)J`@L>9&;KDyzWVDU&(D3uPHcUnHYzOR9jv&wxBS&@Q&Scda;A1Z zN6GxrOTlpXvzByhL*Elak!(3-%F3F`M_J{(gTL?Fntk^h-~aWSJlDhKm>nPdY!lWrbe_!ZG_d11 zUEMLR<05_p*RM%1T`0QZ2!XEbvZ=;Q7eyi0DaTD`mG?k9%=;o9$mK5+{q(%(2|J&FwgT46ojs8+UEVjI<|e;Ksb6 zs3T@SpIzp_{-DZIakuV9`~9m#;wGjg-`Y&g$1mtCfh$wlDZ!L=Ogekdn>?9H{okjL zysxoO+d8}ruiHs-zc>57pX<6`Ua=1^j0QX!r9Z_ApDK9rRNRRIAi_t!@zsAD?Dqrv zhdu|VurDtzMvsfTcsOq|oVC>@0s{hKE$IsJC`La0eLr^kc>RC2TU)57a({07zK{F9 zR}Poh6;Gn!$yYA|F19K}^V21o)*JaW9iu(Q^!&{ej0;Gkzg?lxSEctatk&8S zE!R17FE)-pOF9Bz?bMMJGc&2A?;iG6xqII)AN#a^gJ1n$^LBr;>}9jqu5-_6_+mO2 zD7`Cn^QzoN7B}eu4l6Y%eQey_ulgT4A3|AGSpzegF1|x!_kC*yG;3T}dKoj$b7QK5 zCLf}l0y`&DZmI~E12-~9b@@N_{a>!hXY+n%{P|wh=eA#;E!%e89%tHcvE;VXpZ3ad z*>^6F5`KdIl0O=O<5f_;zJHDEOjZi0cKF{EZX7!UuRQOIrj)cD6?-!AXo7-{6s(G8 z0!=|NF^MH3pCu9e`FVdoXZO24$;;*cUBc&K{m!lPe?H0T`)Cn|hvi-cjwuv2?Q%n; zC2YkHe}GY8_3H7uL?{ojKpZz`QwOq2xbNjr0t=4&NM#4`$xy0M+ve}b7K3Yzs zvPu$eqD7_c<=V^bGMPxy9*ym0vo%w_rsW)PkfsgN(Uk?M zc!+uJTx71rcORSVd$T{C>tnx;^Tx~Ry{NF~byY|YCM;6JZQh~`6{01LB~Wv9>waKJ z?>tB()jru~8oGS_fbM3drn0W;R(|{%ajvSY8Y;k*#>3$zB){HBs!USs&7K~-APdo~ z_fqUwyS7cWWP^dA zrKP8&;KbFS5PZRlsrO`6Rpn4k!Eu4_%1X*gi>x%+HfLq?Xj)E&UWRs`kD(<2fzwNG zsC1?Ds5;7tZd$76(#(=tP48{*=cJnbj(@=NZe#&BLm3~BY`~%1Ae!aJGwRz>f8%6Y zNhWBDDk&+bYD(;*E_{T+=gEh3!tsUs49yd|iaHojOG|8QENfF!<04P5_T`0E8uG

PoV$fp^SYp}x7>nU^&6V>Pt+IpDL0$_>z#7H+)EZj+bsCzkj%RrOvMJKg-| z#$qZ3O)X7y3mQ5&shFA!zd2sh_*%W5PS0yPkSrtLLhG|`G+NJ|vOgKY1mJjjVAHbD zy{|pE(ZbSq?*TA-Jf?;bf?3GLq8LkHwxqk1uUB zROJ;_)wE@GRTbT&?$T4$2b9L8X{-QGnI|)wdVC0|11G{Ku1ckaeplBwKHuIF7c;yK z*1Q7OIUDnM*}6)PjZX@wDHHt%oUy1sMswrYOi@pnE!>FK@6X5-iNdEX8{SxfYN4|` zj9q`*C|>C6YI>X(ZAVI=^MkD>bpdqGt6-9a*wW@Q6VGQ}bev~c*PEcD?m(NturH&d z(QY7U?VnIlI+-XoI3Im-yAK3p>swkHE7;mvTU}D8QjQ%x&5~<0f#oc|Bi()!|Qg80_r+1Te=?x)_b|r=4zfyk956dGs%6$1O+`^jU5!DS-MJFo10N~B?Mc!?X84|GFvWF zZ?;zHB%N5GPPt)xZNo7W4mZHFcrDjZ@C-Iv>3Bcv9#fS*hLbKb7Pl5F7Yi#hu_y}O zGb}N4uWah-9L)loyBiqF2-?Qg=TWNR>8R-43rZ&f@F>fxIgs?4%Z=3RBqbH;YUlni z`;BhH&Sa(9?X0)zbD?m$eR+t3>j=KiGwatmfRz0n?f zQa{LTvOb~V2RPiH#pHJ#-G0Pov)$;nR)@HX=!RP!<2FM0D{SM6?sWTJ^8gxN=h0FoyIZ?y^3ct_8b7=+PbTMMSXXyHfw8{ zV*b(C_+?AGe(vdMX^CmMey({VBrkTO5#Z)M3iz0PHe` zfcZeUnCoS83+)~Moc%uH-LT|<$Bkeqtznu;bQFC)R77K((92)n9Vlk>0?>Es<27M@10({%0U zMdBzODWzzPJ8p~%u*;jHMW*_6n!k5_^=0Stwx;7GljSxMpSAk)oZc(*6eW3iB^3=- z@WM0&MK)Wbd2aw$b0@n3FW|-8+`G}Ifb==^(1}Ns&t1){_BSx~WNG%Z%y=}QmJZ^fbos5>6 z+SQX&O7c#Z|#Nns29N+xadbT$?QUG+^@^Bu)CZ8L>k zP5{kh2W6`fbhw@nA3GpJOPK3mXs^YB`MZogZ@c@o=QVeCK4y~Ed-m&nuJ8Bc1Tz%k zLF4u8i&FU#dn|4+#=F}4p!y`+`cdR&YL;rwHSLXDW(GU&GAlXvW)>@p*)J&IN(9rB z?m=ugt|4D6M)BdSS(x`o>qK}H8fVMvZu{T3ce~sv;umC`dg<-x^889?JRD^$D~q4m zR#Im)ccid>pe-6RJ0x;)W>OSL` z1v6;nEIG zko|KgIrXxW$E`MxnV@J2JszEAM`i}VJPal=a8w3DIa5IbFW$r_^Eas&p7M2#3 z$88@ZY>If2)3pJQn@Svj!;2T2OTY!ehM;3ENKiU9zk)oA&h7fPlGpvYxOBPN(sG>< zRkzdBVK^w8`{c-Om(AqnaufHNwruZ-yXAV^g?QzozE4I^Ae;*1vh!wg4tz92@Hj9O ze%-ybfM`l{5pZ(_j(wSh%_R)Lwc~to_i{aV!}qtftGc=abCQp^uGe>UI~10ECLK^L zdHTkU2r4n?IOnr}8yE z9#QHc?vU^{GPmmkd0o%Tff`2a_S~)BA2uVAjcjuC-R9cs)4udPzoB8GwYSuYpXuta zg(7ic)6@7_dHj^r+}!;RR?R;wb)pHI|9XVWC!Oh$F)@w%5XQzVCkJ?}X&7AGZ@j$A zc)Lt4hpWR}_Hnew`<3VW=)%>LB;Wkn$ItvR*JK$nc!1fQn*FiAE%UJ_^`=TzL zJU=6w;`wE;j}l^f1qhPbj%8U#Z*6+uFrHVn@it=spXb|3&zzly)Ah*=c9uCp!zsHA zfa8=JUyt3(*_Y(I@mL(#+2RCyj9b@|?#;b&;^8FDcrhc~=F0Aba>F5!ZG=_`;-cII zk5VL&NRr=QAB~0^L7jk)k!S4I+wBfFSGVPucPfYpU3;Y$6(C&_jhjKVE-{k*7=xG_1zqKak?R7w4Wm07`VJiTh$<#7GYF2Gv< z%binpHglm3`5gY|Qg5|WIqEE3F0UuySa>_ny8>(or&$p4ag#E)P!JO#p9_`n0BiXi zM()LXK~u)u7>?6fR9M88)f|)Ymlf=n?w7?;Sce5U->m z{@b(9PRmH+vtERKj>TZ5{v;w}mzQ3l786G91 z=hVd`HR$`?kMwl4)oORO62hl55>6cVOQx_UBObM6wOuz7;`4_dgx`)6)(2oY@6<=Z z?lr?EMmus%G_G6M;WO2-8jV-{m6Refc(L|wv)k_cSIV$zv00M{f-$~V^f&zy83m%1 zCsY>Z46;U>2^O0tRk&TtCt}8IGb0LoKzgk)x?80WD@d+*1$w+cbFZ<-1Q<_&oR}uL z+aSlIA{=RUe$m?wc_IjN3g9o2aS9S}8gD@hTknGLzgTWiQZcNO1BL7|ldA%3 z2N7ih*2He3L##B~%BJ|8!<9Sybw2Osqy%nu)?2;J-R^~M%E*V~YpZ;8rkM3NtL!8-qWVh0TKP1VvO4>d-AeM{wE=y$C~h6&1f0u7UDlR8bM9 z&2}?dCP)Z!{Bu*E4K zZV+j>HT@M@kSeU@E6Q;8N)JVEn?glJ6@>Z&^=u_>J6&P0kkL(qve?YiMAR)|xqZyq zXH&8ubDV&3!4I_DNpcA}WRyAVO`^=k8cB*I;oBM5Cn99rX=at0IFFQ?+iyc;h?#yj zI;^K9#O$KX-fnd+v*a=|U$2ye*(RflyeV61Y`$H%Ei=*2rpq={E@{4`N^AI2pyHjV zA)ZoRtf6BEFRH~l62%lcp}3xeim9zZ{l|n(+r!jM1FA}Kbb3`6hnhBH0_`OsV;Dtq z6+jM-S#xm^paP(`WJ)G-Plp48n+h#@d>RV-I*Bm6u$0JA6v}aS8Nz(=RJ0~~cVh3! zj7T8N)IoNU1{1JfDi-?-*scNvu9mS#oQ+m?N#(xOh<9u)fVTl|vn8Q&f0MDsIc$P8 z7@J1OykPf!o}-m`FDz2F($Z(TKEv89-q5mhlpefphCdx}Mq1leZ?Ypy2}_nk3<3f8 ziuFD$J?lhOf2v#y1qN(PXgUh35hZcRaJ23NXOL5!L%j%Uyry9O4(|tWN%@^C^gsPr zR3QI&baPt&GI3?5@K+ArHCMqoDZ5OTuV(nKi!CX=?;Z;phY+xd@mKlr^ zNfv~a%$!x3iBb@?ous02n1R7AP?If$(ly1pE|$U?B7x_5MvIACJVuS3C0Qc+GNl^k#tz>y>y zV#BFr#vwiQX)I}FDy4S9z@HX+!b~u1q|wI`hFJikQHizOyL8_OIp<~`-W~fJ%*Jgy zl1>WCU_sunzz3g7aLX#|}UwS?$DT(Us&UXEp8s&d#V z(}`4tG|A}|hyyz{ubi5xKtiDjcVmPP`=G{@QZ5$0uMzwRf?+S}x~51{0c<(4kNk7Nx5y#|_<5<=hCrL0SklV!&`zK9S6T#|4F=7FclvS&v(1 zXvPHgj(-BR4y;$+-bIOGuIhRSwy0xGlgLh6UK%`7XDk`2H!I0iPhR`ztj zpb2ToGY~tcJ(aHBNjr@5a1!0jo8gcn_b$$K2;(SdQQ?vm=C;xq1W}?JEG?zNp%~j; z5rP7RrO)E2hg%DvvRsIUzTB!1qqsI;#H@jnu75}+nzQKh-*Tc3eGy{!6_`2N>@M80 z+P8A$&-`Hq`xYAgB%XoJ4xegz*>zMgJ$x!iE6Tz8R}lCvJ*F~+)J0Xb2;oVA1c{k5 z(r78>tkFnNQ(yyIN$B_v(t}YGq!+MIFB}~LTTl{K^JQNmmnu!wCa`yu`@5ROScjXo z;tn=pds;=fQO-ONDF`aOSM6Ea45Gp@Lr-!~04vl1YVq2zoKhGL^Nu;mL}NOMICG^^ zN=hWvfl^$8z9I|;pQs@ph~D5?goG1?P#%bPxbO~wqrzl>a&KEfYec+&ZK)mUz-f3- zeDID=W^}NYLMMKj54`R=jBg)|W)m@UqU|VE#Gkv!3_GAVHV(1)9yjdK_Z9y-q5mT9 zI!k)|B@qFI%D4aakDxzI3F48ogR-fU$cfqC#y!}5&IYVT-F-;ZLLGp3N@IQx658cm*Xw~*WT!&02p8dR2EwZdkC@{--)SfHuwB+j#5 zCO#}1@G%!<&oe%CUGh%p;m3g8swZV!3}@m8H{DZPVIQ1VCUedWp(X z7(}iG`#$Eb%>dIG+E+n5nWT+TB($b1VX&Te6>J4@xt1dcHi$7^3ajffs61hr#=+Zf28X}~V2tGwVR?iV=V8jCq*85YfxPb&@0O)VP!@#@VX+6LNNAj; zp+Zq3yX&7a$c~oE=@qijS=A}A4hCiwlmh}mlab{3Y35|C-VSsIXq-UvDRjh<6cotG z61WZQVRfok<2q5FMkDLnqN;^Q1kOjGq{qYwXcHe9Dl}u1kTMXLGtF7{#m6(|S&Dsl zaoVim?rl!ym;YEkvF1dgsV+*!aSq`-$vkv_2t?c5)@{;%XMLjOq->y4#g4bq6h~G^ z`&5bbhu04XDToqEge8EiY9OJY{0W{HmV!tUA+l?NNZU{BkZ}>wV{3?GV%sT#0pCY~ z0o{ix5W@|N4__`qDw+HOuB9aNfaxg<=*i9u7p{y#A}{E6+!>OEY&NyhP=zThFIM_& zfbvt4rc{EB8-!Hd2|gM|RtI|qaKl8mG-?Zd6pfqDq4zh)inQ`keCImuS1MO7uR~j| z27;7Y)lw;@%4F=tDGx44UFD={FDETm3(-oZ2e*eC|6%~itG=RVs zXscxqyH?^z&M4;>?JMF4%XG64oZc5*qr+s41yCC#d{c@jO27(Pj-^VZm~aWTc9ICa zNi!WW0k@!q^njp^?i@TypbM2P=rSRbWFinTur4BLB?09Yv1rEu;V=5p2HO;L3%o3@ ztVeqRBFh|L7{8n15cxxo%L?lh#CIDS8rl{v%)n{plGB`Mp+W#V=enRw#x?44p&jy& zCSjcDJTG(yplBt`oB>DDr!axBhXGl#eFJZdq<%kHfeDCL5UyjN9!8s~lB`1eA*jMs zm|5y?rD*X>&5MLel!TMeav89?b@J}m0nMxmCsm`0M7-HxIZ5~^+EK|z z2Z5Y9ECTCBBz_RhqFa2QB9Y2dNh^e1p&~ww8frWqH2Rz=xmV2AY?&2OnYc)-r5>IK z(NTR+49kgVU~dpFa#Y_&P2s*!qTh4Aet~$IOf)oPL0qT7r$+C~`pe|n-eMFSSnADO zPOJ|Y6RmEBBw)DTh$tr3FfwsE)v&EYhqVQUxuPs!W7H7bG625FTVd~eIky}v>UD1u zBvcs7EZwms-%gOCLhh_!RZbT=w4+!Vci-%78c@u(wiZlLn-t&7A8TYVNBMn4hA*X)D> zCz75V!7K{Js!$}3;8{jZ1XPL_)^cM2N(4 zqk15H6r$L55LoA;!GQeeO&*s&VUrYZQ1!ZHabOQ%Y2j-KvdajP{E23k%cO>3l55&L z!$Mrmf22@;Fof`~Sc?Q{3zzDN&B9PRvB`+UEdY`RNnwqo_gUh?rvaLTT-szbh(y7V z4MN&co3RbUFW!Q{ zxPWWu#YQZR9B?Kf{#d9Fs|pGcS_@#8lZV3udtrLo!lm?`+^!FF2erVchOs=Q{|wbp zeu&#Zy>6EX57FG!V9X|HZ5aJxmL`0lINm?c&mOF_BBQbD{N+8#tbl$OCALJ)y9CRh z6R4R~8ca%zkQI9klq^Ll^rJ*pQ6DTc7byK)FafSEE`T-6;OgstPM9E)DfI5D)Y6-Ps4|=edDEF)$BKyEcCUP?&m`eo%A-T z=W$B>t)V+f>5cw2Dp$m=2dTz2)gT7C758oFoK}qlqxv(fa34xcs{OgI0?SFINbd%p z#NEgO1N^Qh`cwG(>5AZr2^+LWb%w6=7crw{NJqN3xH_VG(r{FP@@?yA5o1flkuHMwot;zb}wK*TI zGm*wnk8ZgVXlA0iZMNrmb-l@aPM2eI#r5U7wrx)L%;3CbT;?8aEx=h+x2B@ z-B}HNRqw2^K513cP!1RXNhb&-R2%Iz6p|3^TN-#~FU(S)#4fBnbi5s>JQkS5c)GT> z)_uqClxF|j3;PWa=Wed&5iWJEcjvUM&V*w00+DM1IRrA#1CEFE^``}U_HqLar_cGec2*&X@9fQbb_uMogvB@4K5S3Y( zljMIL>;C6(_kX*7I2XyyW5`CIPa9QA!7`om#->;5 zv;zUR$eKlFebNE85H>h;;V=#3O6Zt%lUFLc!)$ZnG7|3-KWQh)Kr7-`S~M1M=gpL( zbvnEoPtw>;ESBW2p2cy?{;4>9jwuX<2^Kr4)Is(Q#Oj6k0Hm08kzVzTx1k6#vI;9~ zn1a_bFEt}=o}05?l1Lf-f02Jv%-{Ne&J0O=jrk}4@Ld2&Q8|%XA%md*L4zS6ApRF; z{9nue7MOpIjiIN#s|&5Mp|ORj=nOv`pdd=Xy}d>j?#Nr3#FbXT2?!j)LIoQMUA{AL zs1OTP3rE0?sD((uQbh`06LaZX=5E$2O4Knn1}V~riWlTQ#y}19DV7Wih%CEuJ~1m} z_636a9-e2W zKR^6t_Lg2(c6)B#419J)e?6y8ZF_U)@&-J5zCHw|cGpgC_1$fKdn3QS3vS_i(_SUr zS6_EupKn8zzPj;x{oM~gIj8zOvu}SLed#}Y-td2Ou?PN!UY494ND_MXx^0vC{;>bl z-CD=->rqzp)%_B<-JG`hL+q6E5J#C_f z;_r^`{u&J5!QSQ>yWift=FTl?){0bi-U@$1?0?3w%L!%dj8XMr|DyNW-@fj-pCY1T zZkjkhcy}-ek!-LZ)dz=S&we_GjvzVnG~AoVP?x@H$!2rDp%`!*QqDzeTnC3E^7 z>ynUc*~?5t-+o^El|HZ0ld>faJPdAm3OqhKM`{k%ZAj72MZTiu<@`2_S$ui}3Jv!_ zM?*%!ykRLpvc91iLkDuWJs4N>D82lF2~j$LyGhDQrkXfcd_7^N?S36a;-?3uB`KU| ziCvB{A)!7M`flE;Af&D^QJ1PHNzoH)$h4|0S>32)nAEcBNKwv`T0 zZ$lIZ8k{UI>R1@VFxy&gZ@#xY#5H4{WqG7&nq~XMSwcH*dZ4PRZT-NSa@(?8`sunk zaoaWCgbY{WdQVoC=l+f{PSff-Khd`9xqjiU{hr=nuj-rAdJ{hR@mw8bx1QASyS46X z9G$B9ZWlqwMmdg&8NnI%PzIwoE6;w%bBdeHb`o=6LLp6RQAp0lO=e_Dmyb9O%j@_| z>G02FSAW=@)cz9BaF*Ks6+g*VdY#$IdwfCH+vwb3;f6U3{May> zaZ#>Stpd^Z7_#@Pp8Gn6-{${5-+e3iWaq>B(o0F+;VP<0U0iQTS`g)4OP$m@21(Q6 zOhgGR&I#F;b(^9Y1%>?kH&Uq>fwHDct8J+|g-QOf@mPz1JJBq9Omp3yky_7Rh2t_A z@db~0N7G4SkejbHE-_1!Dq<#<_8CzMJbY|tEy|s(MgeRb!T-V5Iho-PUPTCAmV8Cs zrdni~oQH8sQ8;=(GARD&X-@YUO48Tm?eD$*_V4jf-*?q#6~1;h+eTwXxS)H<8{!QB z!(8K^s0GN>+ZLY_8E@8hqxPM>euWL4enukF!1nW2*?YYm5BLNg^n&YeoX&Th`JdV} z=}Pu_C*zt#903HvDF(I8cM_!eQOqXiK*>LMFG(AGt*0=pw1{?0;VRr^&1mJ2OgpDe zUe>wn!y_Yb5&;AfU2T7Bue}f%^W$e^jVeg84sRTki)2=1l~fJSmS%j@#>3B)sS;Ls zIO*+FjT%3!s{4fUP-N|j=&Z(4cNgH`z3HGyy5oSzDJpLMeq>Z_n`EKJb&Nh4Z>>u| zT^EB&1xlWQ{EhbN6rp|TLSoM~bu2&!*tG{$r6QH*U45!r$&bkV-D)*4zr}H%@(#)Z zcZXP%hAsZvuR2^(rl7@57ocIeiXD?a zo&MO%?Oik_UtC6F8KgBAoaie^tz(L=GLOMJK)(b$J3K0Tx2C^{`q|v-F?QV*gd)Ik z&P`@Rt5%tUC$NN>GW z4OG%Ib}+g;yx4Okx-6TS<#Zdoc;Z=5f?k4U+SWS?_yq7Do zCkfHxsmSC@R#|l{ApAZtN%+@$iR*62ju3uaDx=6wv8CT!$9W}?i0jUlH{-xoxo6w1 z;4kH8lhmTWgJT_MJi@>ENR&jGnuGiFFV*jbA>E!zwldr@MM7guPaQyW4h0Do4qyzf z1HeUwPn9GfNRc}OIbTlObm$x9G7NW zrj$t2p4epy0?5xdqex}6-fV}sz+F8;WE{&^KRkz3yC4l+zdTqd!psLG7_`Z-!i0zv zMxazA{^O?s%%Ua8!+)&ckg$kquo{V6=Nl-=fR8D1)_*@;^Id#Y=au{y&H<`+W^LZ@ zh`|)^Wyt!C47iExJ{z;eZ5Br4_6qtentiZPMJYemixDcJx&${6TA(Fxp`<8C$Ks7l z0B*Ug0PT0l=}WvA-gc&uS7sZ6wS@CBtidpR5Xn&kyo3Esgh)(4hyF~INme^R)}lYG zwh&Nt9=rCoeIiONmltAvd=}G#FLJcLq*K9t1h?P#h_eLqFg030rI_`#_-^IM<$7dy zk+vEYQF(Ozi4@9wj>fidx%Hfimx*FY+G)aQ6D<@=yQK@_Q{ejgK_W0=>6YejG`8Jl zI6)jCw8kjTYNMzr3?v975bO)_G!)+VC}%u7Qo<@SDzDi`u5^*tB?R;%6Ro>p`U(WX z+%b=XkSihZ2d4&Tp5fz0=^M7ULf$TLHpF8?4jc##23Qfvtm!b$B2rF~B%vAk*2JL! zg(v71TIZ15K=^7r&+ba6vKMaqw(Y%EIrXHC*`DEonQyr9to+ zx@;PDuUrB-Ut~a^frgk0`0ZE)5qu^nU2>iY@G2h;(r%c&`fyH^NI_v4Bvdd|V4)tN zGPHa2plf)SgMoHr12H^ukvpvxR2MD9Pr3Ms_sd1hd^=oCl+oaeJa2t`3wU3312j`= zXuIjG(7^%wG`DqGTLU#l8QINHZ{J-2Byq0g40RW50f4y!To9x}ylw%fWsJ)W?7}I~ zlrkiELl+H&Qv=B<8j|clKEa{~4;VU`6Tyv58_NwvU0(3SC~BU|fKv~GhP&C~u`61V zZN*6FX{iElOIqqn=t}0T3a~=qXqgW+52LeX^mxr zQ0W9SFZ@~~ME^V&EiegSVLl^LDvI>Vi`P9KZd9o@cT7ksL&gEES@$yZYHH?4$OFMR zy+U0&;-@p8J|nMBF+YOS#b)`Vgiw0ILLFe!@W>Lub)vfP70g43Dpz=2%%dQ765j zm4NeK6Qy~;O?-0bKGoFFMt+}vp!5u!xF2E(Xe9J(``tbr9F9yUD`podGMLSc-Y z+=eT{2UP?>(y^sIpPR}>ud#1zw-Kkd;7F2BKaG)!D)kZVp}=jdgUOM2E*H=XNdW+h zKuF3}tRG|HVAUIk35F9~($X~Eom@Q*FY$ynHv&v#WVB-fI*s&SYopk=0$a*&i9_p; zU_23d0??nY-EKtMkt=vo0^6wE=r=`87hh_e6NRTe<1%|d zc-RG|s!%2a5<&G<)P7+kTtXT20fsZ~!20exGXE8zWWeNl{GiyN6Q!qeV z^&}#L+n!cW9JXwT2ZoL0^7UE3jv_~-0)A(oBKfIM>V-^GHmVAKr{ccK+;XnOV+PAPk`&)|%PQt*_rW$PV+I)7flP!5cPWTRgRnm!Bjx-{YaycB`9^AAly zz^yMA4@1yqN-SnbK~fN63a)BS?8=eoxPz$8x0hzjBs&oP6#8qqcd+tQFOvvc=(|u> zGn0}s`l|!;#aL>LTc2SRgHxm_)E=b!CZgnLw|l#6_YtW2$q6r+Y2crjRv#2zTU-4#Xfr-k+J8~E2wtz|6y>t^myio$FKeAPP&iC{00QO*vD1oK6TB*u=P#@8Pc2B` zt{*6H1@n#i7*bqlpvbRdZD?(D#xi>riU_1DRjxqBLbJ0aNirG{B>TH5Mr)#Dr+>jq=$&M? za)&ihX4xLBi_}qs5N{PHp#=ag5&WyIGn+-$winSFHQPMz2)SoCazuQsk;!pvHCUVT z1<3L@u&7Yb7B$mDyXMh2uEhY5BM2s3`rcL-J(#m(6fpvrJ0$0jZm=>)KUA;+;*nyh zcA$x~T#{>ssbIu1mu(i}g|AMroJ0*b}tIEH9p-$L_H+^q!T9!3j2iXh%zbOg#9D;~%Y>a2xM zSx+WPrRW9eJUKMrF6jwNsayNW32X0yA9cE0Ii&(xYP)I}2E~Axx#SP+8J#$56e$fN z-wlIQZL^#}EW{Df+}H-igevK9f$UIzuiPXkqlFJ6XGpkCdkCoT|3}$ZM@7|r?^9Az z14!q9q~y>bIfT+Nbb|xZJs>SL1A-zd3@IQrv~(kl4kg_oj!23$2z+^cc|X6ue+yXa zuDfRLJ@=fwpZz?0@56xYK2_;R}!4X^Mw`T304jdW(7!1kJB&vBmdh>?whDm2Y{gl?D;Gon^3DSeu@hWm#FbVf) zfU6JOVMeV7#q|Y`){9;`Ga8QhMDxgvPw8=HI?f-xPGR@2a@q!f8p)mLCYpgYNdfB| z|4xd75NO_8TT}HiaO0*5YZM_4dzg@5aH=uGeT^fRFP>DhxH+Eg9~&0LQ60M4IPvwB zYF?C@0me=cb=i1hiRW3WUsuGr64kTceZah8WAXZ6iCLs0(ID>Ui3?9GeLR*f;jh7r zPut^Cag5TI{b`1BF!BkCAu#d_qcRs0`Z8Wfhru%=YziP{neW`0maI~VW#!)Y2=ggG zIMR$Hy(8RK8@05;c?sUN-Q(zQsw82lNIRPA&9HB&BXZK&%-t(B32;gq! z+Ama+=ffUX3M{HG8r3E{QX}Bt5c5o3u0SQVJjc9zi}U$w;vD|iQbPT!gLw5Nn@g+O zRryi=X?@2n=l;@^bV+y@-2->lLMMe})lrW3==^CdlH{g1%JESB5Oak(n+AT3XRTO& z#7YJa3PV*d2)>(bBsv<{)^$f&hO$oYN0vBg(#b2Zb^DMO-ytfdeWn|QwS%umHn*5F z#~`3_2hcacqSTW9?weMEeZklUr)V z8l42r8QS+=KOwi(S&L8=OoDJWzdrQPXGwe}R^j>S?$X1FicdlUgm_}A=52!A#NS6r zRiZ!)U9vCh0^{xb?ynq@N7h{@n=8Axj2kXU*X4FL<3+7FVDQst%sl1qK4&6Rc-oAV z*)qkQo24IAn@I`~ug-Z;>|&CYvBxE3V_YSV9T1HTUH)>@iyK+39x~BZbX-|+3@aV> zW7Fl900WyfgI}A!YP>UpLs*zvZ|?n`1pkfJc(&?B^20Q1ZR%tmHnDaUT2(8iM5hEf z;YnST*W#bZkF!=QFRpWtE?zuk?xx|qGHerd%;Z10s?*dwG-qhWCw4O-mb|*@?kmmt!}y)GGG!}x zV}F9zwt=KGY-wM4n={0|@zIlw?vE6Rh@mQ;@+3xlfC678 z?0vwB|M=!YwvQN0X?h6!&Ou8XRai$^KetOda5TxZZ{GMPk5@X3$IqUg(O^^gYIWcz z8Kf9fXu=(EIx_(O?fhP!(K8R*P8w~~ORbhO-#L(MNhj`d5=9IK8bGL7KBnUHy`fFQ z>ZZnNF4BzvLlsk8rVE&!h-0Lro+nTet`kNLhzcv}9sI63c}-UIqy+!{qX*CH#wL^; z?t`lte+LnA@{zQ@zU)%grGJ8TkGqnJSX40O$KYMwDd!doS-VpGSVu@8$@7U=62$Xy zq7*qgh$1>f$vKaySlMh%qGxu~jE_c3TPbc+-uHgQy_TQnzM5&DUr5n$ZZDM3%q@4z zM#wdLdcHmV!S?uMZ*PJG=}?!k(LOFOBZj`a9;e9O0FW+B5edvt-AuMq%koT&|0Vh6 z#CU)0?t2_tELe!zv&A6kMS2Pa?*>JzTBT7?t6Yg~LowSJ3$?Uz zQq_Un0Od~Mft%|#zzfGSV0~w9{wgT-gO7Nd61%>g@VxX`=%~iUuI~0ipCN(eFI80@ z2bl#ovwnX?)3mVq-6>Jj0!+S+rK5s&8N;j*gBi*2mLkx3}lMTpF7`p0kjo+rH}I2jhE z9wNf#p*X}y_Tf~xf3Pa8P~nP(ymF_UR{31#plB*p!S8Cs{MuEITN~TXc?p*&-{6so#_`BUiDgXxe1XzFRPwv< ze6hmUFRrw4&SOuvNEk6+g=!^fayhx3@{<*_swNc&@~F>E>kK}OqSRKX_me!wcTqo6 zWmd6;iCahg=qhzyEKvwh7P9AcDlzvkH0Kdf+J=vpyskJP(qOnNLs9C&ubU`E0m z;J1FqDJ&`AbCA~z{`ZSjmG^p4Q|gI1Ih~2u8+T4FOgJAlDXAa+1dLxG161DH4XMd& z;omEzHzro*A*9*z-@;~4nnyK7>p)|&OpBQasGe@m* zG3GxaAZYfK0p!AH)c;7obWY;KxZ7LIjUJiR}15E zWjN1s!M=iQt=D98%_UhruN+67<6|$V1{-VRgo|AnP0i^xdTnY2=7-kRkvQk3J+ z6*FKr(ELTqaQMT$$`Zil`NfLNc42qJ4tAJEi!xv1e2?xi95Y#N3Q@KjK7BkNs?2-U~;K~?3VWn!K3cSE|^ z$+S!Sc~;$nIXdsYO3*4aTi~tl>6473>7eyCKQAi#HD}Xt)nF44{w%BKQLUknrqgVsJtvm6mBWCM537{^wm?Nv zMZeF*NWbN|sK6hx)wZ~arye<1-@p8{2EV$n+1O#C-K?EczUd*rx7Sn$V_pCA^ZsT> z`^_05FAXzaEG$8efBvuo3lsuwP7?S%(pDu{$H$|T5v{p?%6Zdvfc5YHo!V}jO?mRe z|9ivn(z3K9$W{5C1_f0{l!ml0fKw5NQ}#i_qxeh5S-{S(sGL7vukJFfN?s8#ul`Et z2JF^nFSs{|?<)x)C?}&dsEH%Ml&a4e76cG)qH~GG9Z7>m&W@MDW|pOcO8H9ly4yDJ zU9Oe!Qp_O8qW0i7rcTRs(|O^SmDkjdUUUBQ>%-a|gm-)FviA4X%~|ct+oKXh%I%T3 z_rG6w{ees8_GqH#e-|-WIH0&adtpI^%Nu|DwTtvao&T>(y-t_Q;x<)2SqB=uHWju8ycIC;G3;!R39fl&PjruloN z)|`)xCkd=I%lIpkh@TX{6wf|Qzn!GKhwQv20zX{09Pr1ll_@?XVu*&aON-Juv9_Wrb1V2O`+=>}~_?VpKo*J`Etb zgecz__s{30-!r+AGT#pl&oXJaZ|DE)7u+5sDGA|tj@q+sKHojl^vr3pC4q}+!-eb>->^-qWoZe62&u}V`61c) zgaaAu!vh@&-8sL%OBa;$rW%Fq0YzbU+5H#GjQwlu=pdxKXroD*Q0!N;RD%~PH&6c0 zG|ftxEXyExb7s&YKOG{5NFwMLn4`Uo?CrT~PL#-5DNfb!*tp04vz;lF%%WACm1Ks3 z!!B6Z7ee}03yc|7G~w*|HMRdkqCAHDg<|#)18>rvq;{s~J6*!KkOM$DJni#PUGNnX$m~_~1(wymBc)$P3y`!Jfvo*=L3&rGM zt{YH&Wu}pv))0io&dADD)m8N%$DW@Jcb?JX6QU-E)PF`dc)^CS*v5-QyP4P2n7<|T zisoeQYu=E>s^g2Ov!F$nwI40&&U6&lH8;d`3=WOWSR|q9@9)V;Tpetuwufsd{Od}L zke->~ttU_*1x4F1T|Zx!2wwnQq!B3_0w~*mjQAmuthK%SV=3e)E61dh++C=iW>H;- zY4<{z4a!jnd@`1Rv}teU29keay<)zMDjV0l^;(}w(TU9pREe2}BZzjj8YPTPMnD8P zs`yszV)9|@GuONMx%wQwPwJBisTG#3(XgeqVwVRY&MnKcOXsH?-0)l%t5sE-<97heu^(|KWj;uBczo6@jx_jE3DfYU-Xhj6zjU1ds-gPMckLDSzI@<+$-yQdcI# zdYhxm^qyCz(5`ipU(jAw4K2Eg2}PIyC(O7$>hCzLo9Ow^I(8v679fm_2q0Ow_oD^% zY>7D_fB=9D;$3jhF>N97hcUvs6`8EY4~|Mok*PQoiTUZXrdv~atbNUwT0e#r$Uj#x95(2ID^l1ERGU0X@(!2jOc|o5~A`aP-g+LOF?1vDN((UpkJ0Y1b@OeWRs#j=XJ3kL32K(I|} zc>Noj0B}VutY%;VHH_(efL4-2*=o`#5i3ePQp1v{)#{~etvT=4do=7)&Qb9*CbHn9 zl^@*a4T>|R36fie{L62YX^MgzZfu!V{;$u@5<=@kPJ-E!VrJ3GGu~xW05~w8w8tpE zF@Nt%vbwdYXH=H#Lg-$3DpKFI#v_6va!@3ZG)U&fV=x(o9{4bQuR~|B78Og|c*=8G z(K5~d87-@PJ-apnFaD}N38S`9u#kTQ&V6r4=lPh*iN^o$O&Ql@6cj@|=v)E#6!`e! zcO7C-8NuY6^)GF>nWla2`_GW>fkV2=tXK*c+XvWb*nMg3d>vjlZfkGchwW{5d7GvJ9Wna-ZkLcbOn<@X;6V=V@bEAFz}jO~AM@)cV^Ww$CQqlZVCf*hp<5XXk; zB?P?hvWzZJXvQA;@ufjm{KQL2EMm4Y^64`-j90N4z&Hx!ZV)O{sP+&Ctp(w@mxQ1W z{IRV{aJBiviQ-2$Cy-p>av2j^$Np9KFJBl`!>dM;(uUD_Eb?Hmsw9nY(n?Aku@uYu z&<+r&{PC&DG;=rx%92Ort}b98)YT*M2$)AV+oc~be4y4ad%Ps`WGZuNCpXd-YA((+ zvOj`C7490BTDQr(DgPGa>JI;(Pnj_#`q%O4^Y5}fPY1jow>Fy|T=H31GRHCvMp)rh zTRlA3Gw&5sT@pf?S!#uJc+r8VKus69J7E`}en^OLdGsMx?U{5rHGAN5^S$O@IFAFK zpv;>;n)(G97W_+Z)}xB!e~3z?iI35LGXnxo25==#vdXOmYFE^hxzXs={emGo7rP}k zAjHXId79b9I(0IW_1XHJyu{Xgj~l#E7}Y58?KeWvnhgnv(K|xB^@upT>iB#=*AKa@ zksN9K<4BH|K7o5XhK5hP4ZH0q+15(J^4`jV#!MmL`lN6>hM{wYO1vTdg?1=9cJf6L zur26BCmPuV_p|z0ulwHL@pO*7e{n%;$ms3emhMIL9E6_m7pf0z#r;J|7PIrsCaptp z$#!eH2l?mU)!`DWCTlTNwIs3sq=lwT@Tk_3nCE<)T(JcY%$}}DUR@m5rm39b&1k7j zvxgQ36(3T3E*S8S{U)BR4LA3NHPDfiqEHDGdG;?e;Vuw+6y)2>p`$R~B?+b7nfrf% zlStd`TMTPYfK@Tt&Mk%+3!J9NQh|T+h<~KzmpkBO_4jh4tcYEFGzb>guq8sSTS*8qgvj~?x>kD{nO?7g z!HWwyvoNU&a$dmvPC;CgMGm=qJs6G>TPHN~V zq7T5=@8BsIsP4bM!c-I?%N7@{%qc`wf%Dt2fVh&T3)Aww&o>0&W4qujD`#c1-+fxw z_r6g(#-B0-3}%C|#y$oY{1SFvhRt)gS0&@Oz-+knday3mt;jO)0lnMJ#)hui^jg$~ zNkh(hFWJ^9dcd4rSf<5R=x8LB9bFxNmsT8Wn4LrFn_J9syv!qqh8<-o_>d?3;`}|K z48ReHZKTF7?3L{2yqF3SfLf=f8ogC?QH!R5kWlDzYJ+op@8TC4e`sY_a>LvZ#;1m& z)%9M@5~Wa&Wr+&ycEJcmzAO(*ju^t(OR`t$U77=GA16>@vRuhscglK!qg*15oW3~`fhdXA>Gux z&SdF*U%?Y6IC{%(v#4@r7Y2n>2(fK}cG;90bB*Z;bZ8l|Vw#b58f}03t3HHw%EN%a z58$SFC2g+0aM*!Nzj`r(@H&O1eyQGSR$&eDpdt-0?CSpTvQ3&sxF8$ZQqq$D#<*1S z-W)dL#y0#;i?oe$v{5+l!3BP7o7|H-?5%sLycoK-lZr66$kc9@s^7>{c*%i>JNgs&G= zN@W7m6buklEyN@RXhCUG!Bj!6i^D`CupHfTo`y3g-+sZrPa%GHEkWVZ#%S`mo5`zO zjA;6SGi3-Sjb?i)VUsgi&hJl$|SfkFKbZ#3V8?-?-8V$ zJdLpUJ?Ce~*%kcdW(g>ge<}f+CTDRrF4y|M?18AL&aKln{`}go+1;tsTso(__4AL= z$UFuCcT?txx+VK~t{)g2uama#JOyqVu{uZo>rk!jb+9Q}9si5Bb8-N_t_RAT>MTKa zDelaDcz0ZQ{Bh>`#V&wrzrdzLa4E*^r7excMFqn!m7%_|p{x~?)CcIQ@ax&%sXZTD za9PfqE)DJ$>D(%^3pPWn#=2N37s1u02OKyr5*2~yp1Zm2{29%Z${!x0-#)`59ADsI z{yvBje2%KG+mJ}bV*7jD0~b*_)eKpKU1UZuJP@?F$`u zNRxb9GC-!$37C$AGVz0UNNMU~3d0YauRX7(!igm5>56jxRo4E*Z}-vsP(kJDQ3(i* zdcRNFvxieNS{W(13dh@A6?mOto5-dXkhs76Q$L2%SkFj!bd$KVTkI;DAKbGL@N=P! zU(Q6Pzl@<%%H?prWG-(nN$z-(bf{bbPjdcy&h^!x!dXJc)jTNt0Mp`fZ?_KBGF_cM zZ^{osB8>&QvO11l<+v=)Nxt&^H{CGxK@TIn@#cgAR~j=ls>BA3|6>K3w>~T&6!8Qu zu`_%FdXY}No?g2)UK>$%l@Sq$Yu>n+s%oh2MkZuo6B>%s+JQKL8NUVLY|7ovz|6Y= z4e}$aUF3CBlFRbsE9EdjEViy=@|%!*SX6mry0R;=D9UPiWI z6*Esl-Th2G!$ELkqlM6opvNHZs-BJx+XuT=*YVH_?TC!|Onfm3J09@ONr2t081MP( zF`Qclyw(ZD_TCbE^_$pBY}rY|3ca*?Ag@wd zr2XwAk6rrEY%;$G?ixldri;|H`G)7AJceH+nGR7fF!OX_Jji<18{67+E&6jbr+bDx z>Y8DKFDP6dm;V{bNX$eiPF{BP^3%d91>@$3?;>bJ8-9PN&O?CyNdN1`gKxaJD5w%K z;N%mEF{+E#lF+>U5`)0s1Z6d^fbDP6>rCxEy9|b+c~M0tvRR03>ei8LVk=jSE5X%sYcnmP1PQ$$JkQ^2=mvLE3thWxory#Y?362>$H z{M%a-2nBsH|AIE=Xe&t!w*6O>m@#%E4Q0yfu-PJzA+JA(WHGAW=i=IwrN>`I>OkHuQOS%wVL9mGPg3bSj?uS-8ezGjOc7RY}3S1#3^{r!0}7|4f3ri&!LwWltAL zp2r1v7~vU^`K4w#VSL^uBY{+>2E|bN);nNleG+Ze%s~*=dz%R=(=OVmnzy7%KEpDC zi9!BLGS(yRHdr^)@G^>|1EHob2EuF~!!5(a=&H7q%^ddr&KJFx4%+n#diU^Xp; zs1{c7NK{Axk|sU_wa*A6khG!t2Q$@QE;ipEM4P$sn{R!t->5t|*16M=I=SEik&IJ` zPWUy}-yX73Qh4jyM+5R8M!`SAV#J2yeO+ZPBH>wXABQTuoQt?$bFg0Hd**%G-9AB~ zGDHA`#(*4_#0t?D_E5nRJ>x)wBaNdB#niTT)$1{Zw6e>K(*#vYsZC zc+DcmWkRYyZl7m7Q*;;Wg(8VhygIXZg46qqhoRpyZ^32oO=uz|Q1=9cjTNDo#(9^} zj$Dj{74NsmoX z@`q$)v)b#u@UE>$A$(CfSj;J!xdBV(6{cDgm^-^8f1$&wJZ8R z6=GK)ntidq8NeK2UL+P#uQK(V7u~uSk35(vlK605=$Ak<5X*aJZ+&c7SHVBDhHg~= z_07diwVxbY=3vmZOnfW*+8OrQ$_cLGkOZF;hnL~^FJwG^uSu+#JulP)fW}?+5AWr$ z#4&UXEnHN{{Tj4spG}53ssAusG*wmCTW#+9363=7ZkU z%+zYPY|)wumyZ;amZSTmkUWt%Yu_{HU=iaZj9BlpN=JG6z=Kye#+m>}#d|^SVP0*c zC*D#Hx&kfDwHD8XeyVu2Xpfi}jg)@2x8 zlD2j)VTq(aFV!gVypi@KwwuCf&of!kbQxAyQP|T6b3JcIn;yM)2$VU1NGOWXll8i* z@C6n03(O`xk;#sY#t!C`cgh`M=hkEofR8a>9b)1O6*kCiZ+zO&B9N-zFc6*~yaIUr z5D8(zE3Xe+IndYx|DA}8H@u{iUXM-}7#f-h3!qj2PXP8XdhvK)yZB(W>!k}M2O5c2 zyh}jcqh;E7Kj5tnd}D?i6ZTU)N6Vtp_1(0wm^N63o*Q%E4FlL=s?8e$+1#llgE1vv z7BSK6IECV$e^#pxLCPW3X`pH(%!>E2{Lk#{Z6fg5I`OCrFBXpFWV%ACxw|@ZB66{f zzm<||p>~*IvI&VoW<+(qPvhx>H(T*lBcn#|n)vde7r5XVuheL;2l%}QfSg(>ejF5} z-{|5vfNj6Z#tczbC&U?Mia`VE5a{je!E(9PZo%ikhE@9jImGw_XqLRdZ z{2LX@RA1l-IMYT@wGx8V#nr|;R_DaUAws}}3<8J68n@pPhetHODOy5Bin7X?RRfD< z?ofKV@U(>dF#03TaRCH-m1A~$sL25$gMwYT88Ii~&wLi$X_|Vf%Qtq>@C_m?HsKn= zLuP`km&lCo%94P}uDE`AW3S zB-+K5gb4B&kJxImel|@t(Dx=~)8O3@BgXN73O@pgV!#Hnhb#4;u=9DE#!#P2LVMbouuJPYTQf94^j7X<81R1EeIT7D;z1{l{s?y7av%f7huHJ} z?&R*M4%q+UA+xJC?m7NRu~BNy6ALaprSZ_0B7WdFYQ!CQ}dZMadX8lETG{-WZ}0}2hUN+)W5k|-KGVBt}N%!Su}97={3j@85THef6X zI|5}sjYvnzM0B%Wti`I;?0R_E{eUx(K>OavidisFj;GrcImm>^ry6}W+TRZLE2Z53Z#4W+>(W>=U~PhMOu`I0zhyW> zHzHMqv}U`|{EgEYk+AOsSBtuo$l67KDmuh<3?U4-kyR3fO3Y-FbWe9X7Fh}INg$wp z0g@mH6~-awRIT2BL5rqef?L~D9qr){WhiwQIQJ?o4FVjOD7Gw1dvEn;|99`2ZC9V( zBKbS0WHEdvXatixARgXaVO00=poDbeeh$ae?hmp>IO^qQ0|tq29{?d#c5YgvEiHm0 zRC0K`6DAGIrPKF_6o@2EM6|#8>Zpd)OiwRD?nPvbNV9mmzU^A2b}ICKVH>|O8s_=& zRzC#4;iDUFwbysna}Z>+xGk3t5a#quNXcetP9r39-HE`mD?@EkUZtHh z&wi}o_{+kADf0m3?@_Cm-gcv>ySnM0d7BM@h&*bUKLe?r`tQ9=p3e*|OxRC)>ioo! zllVh%V3KF?)6`^Lhqwfwo z>w1xpjkX;w4-GB0sAQm4g1gRv@Bxr=&r3xpl&~GV z3pc)b+e)iv1N)bgfh|do}yPE@wP;ZMGfoxe6q~%dfnt zB|R}ewk8VkQtte%cCA*pDNCn$u}$ll;)OfEVAsCgf~~KBrY1{JWvbLI9-N?zy67l; z?XBqtn$$CxGw4N*LcQbCMGj((c2lzhB|XmB=4ne7BeB;!Wix z4)TR0;Mqf5r^sH?SxJIC9PRqGSv%LD88DtAF>)huEQq-E{`Zfu4#2KGj~_<@LG6F% zdm%w@?EBe_QB9%jyz{%FydQdDU0TuQssy8XW`WZo_q$~)N12SbDllUABx`%cJqxWN z+ywr9Tj;r9Jx>pe9amB&5e}Sa{IQKJopPYWd3#ho_3G0Z`<7@dMb)*;1s5IM6^GVnYU*G;O3d zJ8=$>$e25P%X=R7jTBZ(Dq&Jr&39L%CS8CfFu3I z&v&RA3=Pt5(}BSTe7T{aPf8Ss{Sg`;Cizl<;9?gm6R;z0*xF>gRF9*~*JkLo8hBEz z+Dxks*)%U-ohz~*LVdtsk9V)1PAY_XUpB^UD4r1ehAh14kqipW5X{aIOcxC>sWUBm zG5xGerUR!TXE8a8`QIhaFo?`A0H}yt64!U&sD9XFv&UrZP%1YK_TJlROwybcy2>g& znoC-&b)y}$#M>~6tVi%863p5U;*kwnc&Ab>CV0PqZWzwqmHGdA0ko(eHSY%-Ib>>$ zU$ftv^Z#Ks(k|+sqm_i%u-m^>dt+X=C(RPiMAkorJ7RtE#s}o1^KUM9k^dg!a9mUu zc8x@F#-w^{l+}=t#TOsQR#KS9PQD)Og_HHu>N+^Ikx-QkTQ&Kn8YUvW9WOlg7(AaY z)VJuqAP#cj%Z&FbXUH8$PLK;XK^9^jWU8!XWECZ)D*qV{+d3QL&KB&_)!He?vbU%3 zhOJAq@yyO9|09$K7eUsiHBFhy(P;B4hEYn#ZZ$0t8vnh=$e}D(YW7`^qt|u9j-K9> z{Jb3^+$^QTbpe>LjnkT%P4mil(2W39NIUQ=8j^``wO=(Y|7K_8ORZeKbi#BuV4yw; z*Jf#Pdgwu4S6TuQHpRVU!V}xVn;PaPYj z#AQBn$52i<+7~y%<**`VKb<^uJE6%Iwi1-ygqlK?f9$ry;068xS^MSj@Z!N^hJeV^ zfbOFI+~DM~U*jo53Ii!5DOGBxr+w`eInB9<_!%(R(Bom-lieo6X@2H8T zB-PsLMh2BXpE2>^PF@kL`hHebtFz2n0N38P^>HFpgwst{wk6f^ut20V}3O)k*BbEBsoDGhU=3uvYpz_ z-?eD>6Nnv+PiLs3>#!3oMx0E`L=17wOx`vS=sL@*=wuyq4ec`n^z_Z0EJ8c#oyZ}kb7hhL-D$)C)1mp zY4AbxiY*Z4r%Ds2kOi9<8uo4cmEZIspVv09^*LH@lFFdG{GmP={&T~@X81NW$(YLD zjWjVjDgCyP_2cIQo^{Rn@H{=f2ba~PX^6!MBT|;^uM|1sopfM4-^M-JoKgN{VOG*-mlr*6p+3hA_1pI3b#A?z?8b&&iQXjAFW5&#*3Cps| zE7K63LNMU?-d~~7bk`gb*CK0_NRM9kaF{Gtjl)p<@-9O!_yhb^Wm!YRHWMvg;vigV zRu>*Y5_=HUE_gc8`Kd%Mf=rMR3U<(5OT~jEoz0Lo^Wytm+Q=s18k(BwwFO&DTkdeU zTG~yV1x+7(O6?&7Hr5S$!MI^b_yHWOEhBf8=Te;*Q`JKTUYjhJ@%7> zea&w%mD+A4dvNY?42wO@u&lF=e{*zFTL+J$qtRh>6M3&nJzeKX_sI*)o=m3j`^imt z%5Jy&j83Ef1o#}4n>ErtZI)&fTFtZSCVx1Puw^a(=hec~n;D+lsjc+90Rt+?lO#|k z(;7O|WMZhjt;3Y02 z#2fr=$tZvYnD0++FxrEvjeY#6apy7%C8}=hVYtOUO)-sya(SS| zc0>J_7LG!Gv9^`%W*dti%<3-4^*|tLV9p+INWu?NJL7rs`j#P%puH!erjQ1>aA|3|MNf$-jRBR|2t^{y#aZam%t+N)E`P_)smO0k z)Ksed0@q^c+|8o4LZ}7?eAjE$w6!Ka>6eEU#UXDs|E?)ThFP1JC@`?-+_5pAn*CLe zpv5@c7V}*X*>sbi*NbBQw3w)fqCxgR4ZqEPn93K?nKV27l`rI>5tZa*gKarvBp~{_ zh)Wi1tBe*`vh((E4IhuZKfUaXk}*~drp1w0)uv^Kk#~T>^0Jnb_JIpW>U$Fpvj2lT zeSTDI(W=?FGT@zi&KxRA(TD-0*=-H)^$+WydT+*?mG|~vG5o8gsTUFz3D;CCHWUQt$RZsm-^?i?LJw76z^e2JEfo07b1qw15+S5x@b}niplC*YM)r>tK?}Ub zKj*%V>8=?@W4$$~X9+wOd?9k%G1O#uf75@)9Z3DFNf_7b0EK@8&0Vku~LLO{> zc}JR5?2b>CA05VleNy+P5m*tzRQt#M)(d(Y;D^^#Nu76HQsYXBIoEwGwlf$INJI=G zaI;>sqG>3k)e%~qM)&feodD*kg3mJNr-^&j136(E39$Va|D%Dqmfoc;mHqWp*gZ^E zZfGpI=QOqE8?RMu(DSB#ZFSU!_xhO5xAgZG1jn!bbLzj`_HB!1q z!?3w2z<=al)-Vq`_SueQuw^$nxB$a6H=PS**GnteicYtkeWO9{F4y8SFUZ_LWDh_D zxli9)B_(~bh(7%KbM}u5Ce#FDWF-|%KW3{8Ua-%&aLD2U*Sc**Z|iTA0zoq)Ctae z$7;0~)_X(6`An(|l4rC;%HI`;^Q23IOvELZWv_n8!>tUP(S$vCfxMzf znmJA(JQ5J8ih!zw$o&9GX4qo7Vou8N98a<>be}93AQ2~=f$J0Kz%YUBgc!XmoZPUE zCwUW-Jbn;g93X!O?ZPcJ;G&he^; zT~&*rbbN7|>Q?cVZ8B*s;HgLdbzN4OMj{24AO740`aY>vtxzU$M(9lr$+^5kHu2XO zm{mTgH=kTYKj@LEtDWP0?(VV|!I8Jttdn-8GtcC4p#KStX@dHt3MUgHrSIxm*pS7c zRNpR6CF>Tkw9iK3SVK+{x{J64fj+ilsnsZ?y0)#{9aaCe|0jSVjS+vW8si)qA8Em& zaP@rc48&n@uMc<>BlZsND2L=)~ebjSs% zWg-=;j*S#Wr16uHn#mj0hWrlT1WOr=hZC#M(Hg{5w|6(S`zNRT26p$>ZccBr<6&oKx1OE% zu=n&3JJpxmcLwmLW%+<Rs%3#gTFE_MVsWBA%_-*&=#U1&K;h44~4`c zxwX&_y5d-ZL>RZpo^@8W)dt)h#zOnDjoB9X$nD)t8Ac4Vx*KJ+4kjM8)<)&LtAC<{#)F^z% zRibXP^T=7!?*kxYSHKExU*PduV;kCcL@-x>X8j1|{VPQ|&#Kx8K)Ymu&TY@O2T;en zYv#kF!m+GwCEGk8({C6GnlFt5On=v+)10O2u{P(|D&{}g%Vn(jGd*>FA+(I4j#+C0 z7DN89u1P&cirp?fqd?WPu!2(DsjwcQ0eJpto;6f(UdE&K2Rh_z_YowEMc96}Z{T|ISf*snN1bCwvVn{4tzwDqdEab=VN}L{>>ta>!ja7tDOWcx z^zp*;5LeL=bYUc{R6a#sXiZF;Yk=2tlMl(HV)c865A&?d!(I2Nwk4>&;G>a&WFZzT z!H<(YE_!ap_3zv?z4ZIF5bl-r$G@NT&!-0L@Sg?C846FKg)X}tm-E*qe@d>`W(jgG zd#TL%xoRp9-hVOsQ;4-2O+Jsk?B(tk-9Tf`lpHc-K?7(sCi=1$eZ-` zVJMPeyUKds0VA{h>_A*yl7JAbH8utCala``Opz@wZJJ?VXNCwXU)*!AFx8cF7wNko zgqLGl&t;z$UUPqalG$zN*(z;M$AN6e)#ctbnf9LSg;K_uSR4n19r1#{Bm^|>UG`G0 zQ9LOM+50Ami0s8pmWykM6o7(UR7~h2pQwB$`bC(9rJUMrg1_n|*-stPH~!xH60=B1 zI{rl=iM;y(0NZPfTg0Mj{)1tov2Q~+d8l-M4*_%G4f0iPPiSXkIbS2Uvaln%99Ijr zK0jo1F!(#J!BBSbt6${dnh;em*eeRSN)6AHdZ2fH!wm(+>b~hWYR(^V6ZFe7 zPVBNvki0N4^v4DXDZAkJ+m9h{+Lm+Gt+n=gl;WOtH>E<#+ZuO5BTjoSxfx)^yi^&% zCd7apxj*)|p1EYGt|nB`bRm`Gdl?B|iQV_oGC`DVfzu-Fr#`teeW~V{$D6kx6G0>j zJ%xVx-iZc^8gDfZTd4+mdY*piXgi%&I4dHTKOL3qI9mpDbsm;iqzW~ZIE8GU#z?vn z09GzUI?2Ec>8OONbXW}21nb!ZmzZ<04J6?=Tv!wCRX%rBNM@&YF!;K~^~ghgP5ZhP zz{CP63LmZ?on$_E|J7B`rJyB z_keL6j8X2YHTAPfZcpjWlRHAFc-oAP$Q`dN`Iwaoq;|h8{qn_xAlbCOG$JE6=`9ir zM-FFpoS*CJ1{Aa&e%%utH`HzO+MYqEvs|5ykI04ZxTSkoylO-WTz6k%JXV>W0PCO< zi6`?SCvpvnV!QE&{qk*ydNQnMyW&s-cf*4;f~Zb3N=CdgSvaF9T{D?i826uq-0oya z@*UekObRwr)v+erwgsrgM$jDWy5*cMH6s5{ZdCp-Q|>G-jAFmEkT}oWb;AL`Taab| z;dco&dCWa;aXGSFmy=b;di9+iNB&~tIH14d*Nl3Akd+mgL;2C~x1Z1mI9-D3&&T@R znhNsVs!U{bnc=^`8IFCvVC*;>$qxCg*`LH5e!AM9^K3H+*x#O1V8O?A(*oY-{0=b} zyp_T-camXH`j#r2UsWP^rA$yrM^tcvF)~x>;G;?HR7n$|P18rYkieqlH_6{{bwf|Y z%N%uk!l_g@K@*mNbJe)$%z+PY>OO4WHfxeg^Lsv$wI6*}&V_$m@B#U>yj%DU@SCZN zmCBO z+wtu_4jAbH0=x7-+QwE{v|gPLp1$iicD+_dzwFpgw82h4Hxu_;IGsi`jD=EW`7XsY z#VO>Yh*NO2^k5Rp=ugea>BVsjboZcEsfr;Dl-!1CtC_5SSkBCA`<`aN0rK>d4c!E-rKOo=dFjWKYuj>f_NT5NOgQ2 z2-G!rt)vY`Wt)n+ozyYC5NTmhtg*>tmZ(fmcc%u%NQ1KZX>AALaa|+4xiA|DWsfc) zH?1LG5)OXseQd}Nd>IM4OL&h+!`zPi%*`9qN5I(uMNS0-g9xeOGl`_9OX1lf_;3Yx z$;snBljpP6ky|6>|G?h40Ito>>TIW-YVao?bJS@^&3TRAvG8oyDZv*1A1Zw zJ%d#BHwE|*zGU#4-FD8$(L_q0*QS&A%>=v1!^$TJg;~Z;EyS=Kaa!IWkWtJ_Lq=;6 z2iVpHIQ4z(4#;HGWbZEJy4{(XyzRnPrGESFOXpIuE6#ORc4chlj0px!c*k zdH(g9%ea3z{bU;ScA(q$g0cB_wQCuHLE-aqd^BS(>;;*>Sj!5K`Yhyc)r;W@XaTp` zrd!{d2+HBRJSNE>4};=vwRn>vyVcy-2d&u#siG7asU<0UWicvH*Ts~&u4H5A@-*fjM8lXko!6z&n!vcMm2FGqpxJQ5fZ`K?mIEoXWP$W3bP_Jsp+Glu zO5Cm>AX;GOwW2|Dbiv7v=3MNhB#77ayze#0Xy(1*ys?-5iR`P2O(v+>PpD;0sf{GvysXK99c%VKqhrw4CG zRAvQhkF!SX?#jSqb8&b=`Es`F2V#Zl$8{QWS63H-%TVFt!{zGZYaUg(spzwxYAHWt z8r<=B?l!~3wg|_)4!&0%zu`go>_e|WZiMOu0O)*?7Y|u;9fOA+BoSAl<`PQH%9P1m zskm!<3SCw%*R@D~Ommq|2h}bKqE0mi{xp_|lFmfbG(;G2Um}yy%kXqvh7SRWEAhOzryk_; z%}pZcXSKQy4&B0XTu&DJ;GB>DzyUs~1|F&O{qt~;e`FZ7aY5Y~VGp{sGgWkf44Rr> zEJ5r>{LA6P{T>qbEiC9hetDE8Y2(YFmd$VVnQm4R(pGieeAOZw0K}=;+4#spxXMj_ z$t~Tj)|*ST0_5_V6ZU|MVgC5)lVFaVA6bAXnKljnciW~Dxt~RAMV6}OFr58v2e&-I z1^JYy(wMtn3L+i*2+45}r6b6}2H)Bj&G^X6Rp|@7%Wpi_|B!N991c}Z+n-EhCatQu zBpg9nlCSC=OhGnzClxAhBwj|H?pV9d-uoa!|1`tz8#xS?*+Je$AHaVmKX0Z!1+kAn z;LQ^!7;4<$3$LIBt;e8$rX+$*4L>jpe237|x8Jt?=Z`8Fkh0N8s)?)BSHC$q+1c44 zXVTHHwMCi`^y8!bhNZt*)wK29`=WcQSJOH4GYWCm(vZSkn@OhH_X{2OC31+DWQXh7 zUY(Py-H=be<QGq!8fw8dpSWL%+6Z|X@#3&Lt>Aei!K9V25S9!_4S<1Y8;-sa#76&1xO`Vi!^=3dL4m4$H4vO2LSa zc^sNp7s%Y;oTC$*0$~?O4Ch~}{^fUk!m;G@V}4pe0yJLx)f`#>eSe`M@MU2+@U-on z2rKCC)VBXq(pW*Duc@N3-$Wa@(Hyb@mSOodiJosO9_;F}b~rZGu17 z)TdI%)Z06G7#jYK>jP1IyxP~@6OfybuJ5Xf-t3eq>W(#;^mc0TYLkpA3`;8=Sc3BM z>Usb1ihTW~`p$+G0B#GskNVW-efKXaf+GGA`!NmVpkP&S0yV_aa5 z)b!<~gL#|y&IwJ|$Fp^PLDJ};Xcu+U2MI^c!pq0Z^evOuay zR}XLOdNJP4i_vkhx5lY}jGrnWhW+wPaGzvz+S6Zk?}-<{-uDVt6~2D85d%+ass>P9 zMnh83VU7KRiJ;B&bREw#bdLPZ@~m^&WB;K(1z`=s2W672cNwl85}z51?dnE)@fJ0*0 zIKE%{D;vw+nF61BRpFZIUfj_wv`KGM`2=G2waJ8+$;|&U`hm>5JL_E@C%s`*K~CM* zYa~wH_$m8x6f@+B5TT@s-JW-CzbR{@m3c}jj(IqC2<4d0&y0LQ3CjF5EWI12_x6hi z4tYAm-QhC4YyV`+l@Q+gLU7gKE&{{JiS?yUMxUj#mszRxA3N^vQQ^{uqV(^YW%!iyP}PD!f%l8P_tXD!8ZsfzJ(BIGG(5XI2`8U; zf^W!T@tFr#)bSox>7ZC^H^{Q;&vNC#Zh;0r(%ai0;YaVwQ)C1zEs@uu>#0Gl8u__u z&wm3RI-V8uy?qxQZb!54DM2@4b8xZ@O)7buhiB?D-3L+WZTypBWA=I zW)Xc;{8{;|hUR!!{!1{^s3(@<<0-W(=mXp*)ei}$eSX&;HkZmnbT#$N13hyBeBpeD zimud9RIu{&S;4f48nQUF8dVBErtp*hR;|BRv#h?q4!y5kuyq+VTXn5#pEq=}>b6F0?Dwi@Jz&4-)Ueb$#X ztRCplun%};uLW8;z{g`LD8|)<$rd74CLEef5W#R z_QWc*!VW8;T4k+FPB4{463$Of525nj4D3PfXV3&5D;BIhmL)(Ay_$i){SMPLY(8?# zkKgC-mUdl}jIjBPn~v2_$Q!xfSV5+2B21hDIz2B@jYOP}V&HH)7vEu_#0+Mo45ss( z#i`&ba!y@!S<@bcmQrIu)aIK^p2*&KpsxNx>P9Mq1-q_jF2Y(xj~vf5aN2-R)DRb1 zeB8bt-{9@sZ8L{Rnh^h+Q!qazY>4epiQ#%4$|49|pTdNj zi~QzGwgu^@EL(}>Ts)>aS|h>6)$s%Dn+D-8f?m1(Mnsu^m4y`fy+%4QJyudhwYEoH zguiIUAbtjl`Ve9PWxXai&#|9ThPhN)t#^-_PbqW&?yiDIp2*p*BQ&w zG>9QEI@HZ1yL+k|6Y?1xEd8k^boeHq4x<&I71KUi!QJgJBNjPL0~rtI;^QG<+m#-( zNpC&u`%(oTi#3uSk~)mO4q+(_G!2uYh2L17=aiBWbj6yNg?p~`RMTOzhGFbH7Qn~? zdLsqy(z-VmFiL1SZL45~qsmcwwgi2_5dt}Xx}In;mF6>uv%d9k(+fu5^4cCCaSZnA z1HWmg%E8$F_l4K?^^ejI+#mavk_!q*bT)zmGxwh(`nEJHi$e{us56?g({OO4WTrS{ zvFrDRY=7}6g4X8<0GOWweJHz16^>Q99E!hiG)0WOM@SZ%Ty_58Vi;dB@Ecf~r6lJ_ z!r0eT_$rF4q`;VDkJ$pBR$huL&AQex|-P@G{!%kdJu{w% zeg)x7?n5k=kgQ~1U++F@<%nrn2i(2KTgqbz$GkkW=evX-pw2r=SVw2&C^K6e8%2_D zoXmNoX&Cl`^%hR5P(>?0IyM%^Ie0k!lTIw-+y36jmE%-u`SC1)N}0T*MO6_lz_#vH zGj6Fe)w^}Xr8q6xfF6kQaeOre3$dmr`{)OqpCE@U@bw^y0b|MqknAO0+l z9=EwQWbL_21BsTUC{-yvFX<$m%TvW}1W?Y+y$5kon!`hMQj^xqlkaQnrs zNMi0k0a&^V$4n%Khw4NFhwyF~g(=yF_QD^p96Tex39FL2QpkAzUOVp{cou!EfIF78kY3*I7AxLC;Kj2H}AlFFPJMQ3_%~>tYOA%bT8LfbR1&C*4S= zcLHty*tu;^CiheODxr2D85Z*#^Ybg?;T?R5o^KoT{-ya?{qrp-NK=9Z~ks+lcPY zODEWu)sT3ItCC(@vW>BRwB`pi( zmP>nW2Fb6f;9dTlj(v$m{GL57L}H0qB-S{Z$pe&L`quKutSBbfsYw!W{5)}p zc8$mGb1)YOCS=Mq3@$Ud8#w($kovN*{4T-ReQ~-BH3Z5y6M&FYnA~zVq%wWxy8hr<(8mcFER~Wz%a!OLI#Lc0FI~UfQ-9H;=Nf zn`=s4E+n-~>UAiFH4@Z7yQ&jtqm*tv)`e1Fzgi;4jBp@@bd}M9w*kRj7cayB7M5w4 z{yeWhI{&=QF4LfUkP{JFA4F)fW2!X|6vFL&l!12iMvBPMjr0V6vYB?;kKshH?+u-m z?>me}RjqBWl@xfRX}2d((U0KO)u_O8jtjWP;dZz(&@oZsR5X%ScTaj7&Z*cQ!s<7Vcp#+TfipDoZyFc z*CU|+7M0?5LuBAg)d$e=V@jIEt#2thv*ig-#AWht%+s+d1!-E`0u&C;s@QXim(O2u zB=>M}A6|G|kY{T$YE2~NEn=YtX`<73&D&6-7GjL9o*v1(f7-jXP0$b>GHooD1c3-~ zGA1@+b`nD3qeq-gy9KRpfM={p4t$SYA8?%$e4g60@4G4Ux!C7jh+6XezUt*@-bkDPof0++y`4ugLFA`M!-`@8l5eunHO2&81 z!y**~r@Qa=rw~3t(L;TPmQ2|9A!l(3W!1WA;s9^=!uUY}MA3B5J8i9P-}H0lI^371 zsx>d%VB$H-P#z8KL}b+v|2Td!Ei`SRtHOIFA<{IO%o9`+c8wENj zB{=l_OQ)Jk|06Q=aAmC$RBf@E9oI(7osRcc@m61LA2c|G(k|U;G)k8ZGuHX zA?W@X#}}y8e?6P`|8iVCA$T^qGGH-u<&QpKbYwLY96uhHmhyabsBx6%WVXDm$c?T| zB1Vmw1M)wy!qFZQW2l&p7@9W@6>W9MNjWTob&5L#Ma8Mne>%9zO72~Gp19vjv~iEe zzgd4RURojCdd?d$5yKxO1gt?SgG;6|T62qlJOaobo>YC1gRITwD zo7l%O^-MnV4xwcwhxa+*VS)t)nWJt$`7s#fYBtsvbssb<;U{b5GQ-C}S+Vt40YfCKy`>)tu;vxE|R!o5Y<;vY%j25M?pTV0@YZ6X0A8 z1K`-U0c4)8H%iPSJX_BCa!3ublvKM%XAyt4QiN z92~UV%deeGV^vNyOtmbVva<=DOEw|0@?{t0f2D6F+7pw|*t2UI#7wQD%rd@g^lyzV5ky?Lx}gug@>`HfB@7@_wx_&jwO;0h7M zeZ1elRNbp>MY5DKTI5m}8(i42HVcJCCUK5_lP;~5M}>G=G`bIBX{H>%03Dg}DJ?N$ zg`bhv8Z{zWhj0Z%SQSFZfO5gt9vp!+eE(^%+1xBM(T;B5`?d~!f5A)Yz_fkB_Kec3 zUff$D4I9S*V&TnC8(x=(eBd|&q50mz-}c+t1|16fQZ(XJ6W-!hYQ9|7Yv?5X4#SRm zYB1!u2j{4ko7`W7u*z5@lFk16PR0t1@Zdd%Wbu9`3km;csl&t%Jv(Rr+ZjKvvvz-5 z+s&@P3u^et#o$Q3cnWiCMf)h~l94Znm~}uO{shC4Yjw_I^_d`<~czGn9_M*6O;ejHy4@h&q zG#_!(>`2A}IMywpl8E5v-oElqX&>nM(|;EvPMszUaQZjahlF@av;qc{^_i99uOsaXzlk=x|Q7 zY3EMJimLr;Z81xoi#4uMzI&*|3k8r@Q23g}AZRn7at+G|iGKwzge$AK1YI@N3LwXD z@jKk~W!ESc9m9I+seUPJz;HPAhJ~g=*>~dP0S*42R1X0pAY=^@m)aP(-J%d5-r5Q7 z5z@=Pqo_A8vG<}L3_!n=Y0IrLWJ`m(4v(KRdm)um(6y*0!K-V`#vtM0Ovz#$W5(3z z$c68JeT;Vkf4lD=?;ltX>1lag-xJ1r)^tJ2-8#-mj0GR%+kRvhi% zuHu2f3EL{2OWkZa4oah+p;Xee(v87{V^Ln>8U{#;%qmsTF-5cKt&6RY6y z2m>7=X3xO@iwS0(K{{204~e7XL@^Z-n|XDB7uwKL*BL>p+N89{>?tt~NUE z=a>X3&lkqejf=yD+!J2u(A^kNK~r+l1Y0x?pGO(nxqH$m`FCoKhlL5~l`SaO)k!0U zF*_zHi%bFa3wcSUR-ASXC~AR#+EkT=R-~u$?`OO$Y4&?N%~I#1jM`&!o;OpK}AfWU%iaGX&qI@l!6F)?AXD=j<)bdyh1m zzz;m=8a_up!%58*Sax+c zF-w6Gb|;|^FUAU0SS;j_nw+QV^{YGoPjV3=c^6=CNkvM}Z>OdbHtEXt6Ol_0j0Hpa zT^Lh5^gm8n#*rGU${ymzcqqnm!SrMuMZJ!wO!7eyDVdh0SVkOW3si{U_^bf)jn8?e zS=*jl$uMt}qDn>98su1=M&IX&f7yp}^Pzbfb36eHew2*uj$i+KD=5}0Q(V-DT;6)A zvU7SX9ghpz1zqy{ouZh!R^LiA4`i}UazNQ6Qwwu)*l7itbzTTADL@EAbG#BbuK#(j z{b&$Kt-sR@upos`XmkgGJGg$dy6 z%P{)VR7f$s92%Gk=o#~w%+xkoH?Ayq42a!qttZ>mBpR>SpHpgzo#8ZFd`a` z;u&cqus!8P7@~~h-<(H2GON)%L!)$)r>PcIKlk&(W5E$udas%PuslD|ZW%G)VnWZ{ zckyuNiVHkOo5&(L55NDxy;NpS>{mh*Qz| zj(?h*@ABio3iX<+4XCpm^d@LY{fc_A>I0fye5qrjIQTwCIjz)sN6D#A9VRXvob@>| zrrVF6UI!U7cj6>l$-HDbexXk`B7`DvX=v|v52X4ZbeUo;NTYy+Ao^$XvG}R- za_i3yf_Au4x0-dg83sTbDqKv7o@x zT}{~*&*GCoc(%+ccP>IBBGh`+&%y6ZxNKs3f$He~#=l?dvodufFF%HS>LeJ|s;xg` zGB7Fvq!BkVq1%m$^f7~toDw-3eQ4}gGXt+S$LhjLKBdR!e+&5M3;fyLfBuHC0i8^A zL$uOzJDF9*{SE?&eYjh5Q~$CmTjhiqpe!Y%q)v)8)KQ@O&UAB13mo-Mnk6>dQ>PMe zc={2_Oska~*gTfk{A->vcZBAL4g-EH#JH24T<8wFla?6Wruc%6%WDxowHIGASuOy6 zrGp;^3+W%Xs4JK`$MkCU7%qY&TKK0k@~Hy6=Q8DGynPdde}8Q4i_sRN{E9ce8?0*K zi<`PnbwUbeHME}ZxqPJfu=P6Cp6#-LG+9RXLlAm9y1N_O`7d{!&Rzb^i`)!BhF?u& zLTu~*C6+yBz>=CrrL+f00h{u<_XV`2a?|Y~nxr)J&}a$&qEQQDWybxC-LhIj6zpsj zL`rgMa(+hS!pS0MbuRVno9aZ|8u>-KT7y3~^|0nsYVG`nAW3t?`$?HGzDm2p+fP2H zVorf?7elCUTLgLc#9z5!#I-d9k5nyPHA`s{@`6YiQug;F0vq42Y3e>64>`@?&yyPj zf~pM3pO^q=LhS^A`Zb@womKZv-7iO~u9D{Qs)#N>Ru5aQhFuvC5X?t03Du~uYEvK8WP)u|hgWc4xoj9!EylRrTE4OvHj zvF1PucwU%2!wE}|f0D{fHE1Ya2DIQ6L-olR^*dXn-lrr>Bq>K0`;-@C?RBdJ9wEpd zs23vw#Qj#Ni9!jKvx}>gZ5umQX2zF2+}LPx3cP*Ty?QK-hcs-wK-x}3#;3ebd#)4x z4qj&khWvqdPf0nK+jB}vxoeAS?rvhuR#_oPT!X2Z{3{zZsjKvEUMx_<)$pGy=cXZ0 ziiYKweL?`Fz3_>izIEw)cDfa6jU-qKs>#L~IrLb(d)G!ppmk|~e}51sg1F<)!JmW0 zmTU{r0|6j60Y2+|^$|_PlAy!>Q*7SJH^9^CrUy&}ba8>B4xnutOhzh`+#PdZMghVrJw*fzjr2t;K$JE z<&))B1u%4+>V^RZRU?1-qhskVTGwsPUPLUZ%bZ#H^RVbeS--(jgSQ^v(}7i|K9#H1 zO#4jB6j<1mk(fj!aT=Kw^vu#_+@nbh+;RsTeR<|GQ#sf?>}iLMTD-ej4a1>DUzuz9 zWbJTd%6zrz!U^q*U5qCTo7D1|^o$B#v=)1<$ZzVmjWiBE&U5ApNS&~_aoP;RiHfgp zM@_F<{y6b<%H?NdewTrMy4o!O^c#C^-JzaYpRKs8!Vwq=Yv>5iIH@__uy1rbZHM%T zz7Wvz%o^CSa&k5SzuPXb{=kQ!sZ`Rj>zKbw*PV2|H-y4jhT6kiPXf9mv#_(H5atn5 zP!wb)zGFVLpE0I(MNbefh6!X~pDBoUZ#sGjk^v~~h8AX_nhKx`sQ|}}n=Djxa|1kD zV#`|SWvNTP_99-R0yHYdz1%P#r<+qb%a3h&ZlyaxC*jya{%5}%Da^+XM=t}sH^ENC zmLD6~NqFy{h7Q?OJpH8=AH<$>o<+I)?Ztv%3IDT>={us(1VtgVX)^~w?>i|M(lPl^ z0GE5->{!jVj5O4bVd4^~#!bhD?PtHyTD&6e$us`E!lrGPYel=sUm!&-m#SV|892rk zooFpjfF*iN9o+~^RyuhaZ-0kH7W;;;#DQGi&zjP_O2$IGFc^fbGsg;eoWsSn`MTm? z(LQ*tqNdt-e~Q}F>qO72)-JOL;X2}f6AaQ!+g7`Nv<2Q?^Yy%J*pE`f1J=PIuYtHH z*WF#ePbEO_Zv|ba^*Pz^)^#4D5$Kz45a(1}o0*%t+Hy2g;)JZDjkO!YcL~$qgYam9 z)qYUlIz609|9#XKbCm~_PW!0%efCM5|5*7{qR4XZ}F5>Twn9rn;TUu&8SR4Fk=6gBj9H6t7B!po>9GlwMz{H?*W?Wg>KkaqpHKO z#svW1?c@TK8>lZ!Ani@`(`9}0nX>Nvd;-3w=x48oL-{g=?@GdZQ3a<@Rd)_^Gh}}M zioo~;M{rOLuAkaASo*9n^;5@O9fdrNJO|*qRNQXbS6~OmR7r)r=az$gE(Zei_6QVb z=9@cbmWLz>aFRqy95`i3aN5tmQYUuD<|3h5wGuIzx$&*iPrPVZ0dzpKP5FFeRVAg-781OM; z*&c)n*lMK_39|D){?)-Jz-hrPf`k`66f!D8Xnd)_s8q zU0PEz=`K%~|F7av8%Br0LHNKdj0#2#RAr_06rl7S@cPj#O-pssEtbl`3_(^TH3)IL z#{@UNkfYQ}jn+^{*}2KtZ8~p=#$BRdImbp~Lu)7lkfwf9 zYorIf{@~6VV3hyZ4>t^a>bhJ(3TA3)`1u53aW$+b&1x2@aI&Y~>idwj?!BGOnM^Vm zpsdAuO%;qQ9PsvFeYTV%5Aq*-n4+&xp}FCQO*C!)P62b)^9EmgM{xvJ{8!5|;RNIS zv~b9#7h??f`KzKhK0yZcK))5Ep^$_OK`nHXo?bpQnZainp7}PQEn7x=DwIW8>H%`z z2Ax3?t)sWWmmsw=@^C}jU0)bo1Y1>n%nZi@NzHv{EVCr4bcCaqQeJX0$_V601@=6n zyg89?I}$K_2@=N^yoh0ZUM|fuCgVAbZo)AKDlzIe&(55qpTN)SNG~I(g%KUT;?n0< zK0bjyw_8N>0u#7ZFzt`^ZfxFHs0}};(Vr%%?Oo35)-k`Zj8#ikf8V>?fVkK1o6yA&boY7brwy7bOb;M8sS{7hH-lR+zH zN)|vCk9v%NN}ck;%BcDhP|^@qbNE`Mj?aO z0o=snuckd7Lq+T2MsC+~EImIMCIgbDk@CNBoTW2%RsLbTaDKdn#EIcgPG~^LP{tHr zp=Tm!f7b8*38Clq?M$HMZE(7+3I)Ic8lWur+(o)d&eZ~|0&e0I3#JJuHSNWnnlQ_gW?!+p`Ew&_WD8!(;pmI*l*vZt#=PZhoMBWpzic)QX249hFD?fShOP`8VpIC|6DUfe_EGt9D zw>kCMpLD2_2ffX{-Nv{Ss$EO9oIvsKKcz_{d3kR#oW8+)jD|O=la?U}K}c!q7q<7rOpcziBW+lcY~B zu8ol*c0@H2iwHTXI_|_tQcaybp7-kTeLg9rqjM9(j44Pgyf{&c(PMBJ{uFAx9G&Y{ zt704)r5G;v!Wu)hEi0sqmo;%OF-Yv0O{Rn;!zK_g?eCt*dx96OT8R$}AMQf@tq_Sc zX7T4A?pnw~{{R6-{;o}B%p8UNDu?%PftLNeudQTub*_R)*GZI<*iKO5%wdt~wWWe~ zWg9*NYjq29S!5MMcZ_N3(6i(ExhXhhu7prbLxzlHsXAPwno3l3(AY5!^w!rHL1j4O~c*2 z+il2nJ~&z%evy?hN>0pdn`Wwobn zFx7@?s1kA*(#(v~IbGaBjUCEICI%mqNr_@K_3CKeucQ+O8*sy^6O_qdccOIYnT8WH zLhMQU+xDJ8k%MRZDP3VLXfVsc?8S%x|K>4t1j~PNSS!BJfLP7G5qVu@k2}F7ULG`D zzm$tN$Gf^q!f?*!JA}UEM7p4=Oo?Az(%AHRL|6-{vA;DIrVmD-czOPZbjTKrdRj6R zQIEB%r5N;Dt(X6Ir8B_dVK{+Y=shU#fX(`sRg^j>cMG*- zS*wNuvo$>>rPT-#29(d@h^?$Mt0oBdRg?LMj7?xiFd>FYOP_U(zL-{Cnt5-NiDO*w zyN0Ov?;joIG4<$^mLme1CZ^L@+Vcx!gWKg6#$_7eDb!T_`Pl|uTqZ4_ep`qN<|ETu zzq}rassH-w(f3fY_^mF?0?9MtO<4<@280gpI8L^M%-!bs>hgtLtOox(WLv16o%A?O zY0lZ>wBScD(a+TtC_wH-@p|~|EuZvGp~TUued7IR#zpd?$e1+$O_B-!xJxw7CM>m9``T&QaQ=mncO6v&lgU{~zZlrn;p!qko%s3H zxXd%l{^K>bCKvwe?Hk4TUa-vrf*_hf^>~ zUYSgXhSz5zvVd<>v!px>gCb4x6qy6tY>GACFin5MS#)Z-sJ{M^@MAL2yl3W%izxEj zjg)h@gPoi#J>bh;h$$Uom;p1j8gkYE;S6B(n|S-fl;517)9zW_QSZTCM&0iNuEF8C zbw=)u!$gB%ke3|%N+fGDc6R8-y7-cJ1oGd&+g>r@MKQWk;Tn{S^4A1OxLW2g zUYCIPb78Q0RK-{`x25vm`$c=bHQV(aNv5{5VU}YNmFGkhDXfq$YjMiK->c7@Xj;e( zcy;Y_6dASuK4i=Oxv&O!KTkEF_j6`^DX3byL7@-At$O#iGg0wi%#U|C4cRB)4Y~S# zjr(QqL%v?$1}2_JA~08`?9o&L7Q5tRwP-C>^B=RZ6r}g|rCH^|QeY8^ac8tz0+ZibxnN zvnhuR#U8kZcGz)IWS78we*74oqofI?>G5fzm=I(lEPX~pf7^fK zb|pERIN{Uw6#8#Cs=db(YXXwsXWMm@jKm^U!!TUxY-D&5N~5B7f_2$sDyf5`|Gj=+ z%8sHcx%*l+pd^4Ri^<5r@8F!iyKB5|RUlr(eS_oQ%>lT~*U8@fDMwT6vVN+jnsVGa zE1R3jjjwHfU#uIpqp9b86;9GCnr)VU>Gp~2^^d8&-@x=z98QM4*utY( zR8?ba_q+>7jh!_1xBy?DaOA?@EkN)B58NTGaxHNCeb(}0EU0TJSw@=G0;MjN69Rd# zRI(bjT|Z7Ova(Z0F;VPe)xxp4)qwMqx@%W{2EpCw*I6`5YBtK1MKUCL^A=DS#{D=i z8nn5KOy@TCl6~{VA_p)?(-|OEHlY)0SfK<%NM(hf=ss*8M^R?8vK`6j;m_+C{LC%P z%9woE+2ba= zzzwcc1tBaT|Mb?rZ(dSdn)^q|TPA(0&pz{l;xBem0nXc9I~zdZrlT&><%vaq&}WP0 zrRe1}Anw@p<;Ne$;c_B9X1Z#mIy`6t>vJXbGGM*fm&lFX@Agc zji*D`-5=wO{~GS&3Ag2eT-NxQ=ACb)HbI#!y=Fa!7rcrf z#|VAqy8I2yS9|%gCC2<{YZzIzNz!wghQaZg?yr+z6zvxI4Ft&u?G-BpP+r! zaa#tliCk+wI;>59@@m(!^U4Aq3kgd4Ku9EXs9=~|05l{?HiMt6vPH-AvBnD)J&PSc zAZy^Bke04e_KTU%IkMWJ*=9+LNgHzwlas8y{2>Skj?%PC;ZOf!1Na6sz)8UwCCph{ zdzi^q|4phd28*Wq_^%qtvreaG{XE(eIy$6$ekb{tWJsOis;*PcFSLG z@Om;F&C23wiyc}%*5(aU;pIO6@x9;26#K;&P5n6x#lV-F7 z)%N-xcUtyv2R3@ENX0z-tL!*fT6^q1;&aIMC8dc{^N^+I)$}-i$9C~AudOVtYJkVl zH6GFhYIdia-aedTBi#|e+bMBWDEqs5xVY<`Rgn>^MCKcxFu5>yC9l0S9M2vMsnq-HI^?uoLK zjqxbw5z5gzo%Q@6wrV2`wV+p7;EA&AE8Dv8g!F=ee`uE&(i*yjAoOHcNyYAj=CBhQj_W)e=@gymSNK& zzK^hsN)k5rH{iEwv|xU*aYvImPnh7JM|rrSdNlnoYg_m{+DPc^mVc|{q2*b{XMdfJ6+2|(a1~Iky%5p{}iZeuIFixb#{%$ zez=H-&TLRAIxlG~=6cbB>%Qk1WrL4fa`YYnYda9ETmaq>Lw>q~9yZ&-lNS6dW9(9y zp?82uy3F?Hq%#OPa0NJzl)nXinVLA(w(T?Zs^J);b0Kvl%z6O03j+T&6}#MUV_)_7 zeU?;G&~AQt-?qszhlk1H<+Vemo~Ufzdm*k04`!-<-4E%>h8Yl7k|RZDfSxsw(bzW#Sq*z{dsP)>rJ2@U_pk$0*k7@U~`xf5T5*Sd}ZEE(nhL!=t=6 z+|q)hnUd#-fW-)${_1;Q3UKkk;7AEl#uqj|&U9t6qMcX7AlUTArIO z)R2oO&&tbto5Fa_nrt-}SU(bV7-e#E)Wk0x@i})mM2$t^gzmSWYpUyh2}#V`3;(R@ zaf5cU5g0QycYhR5kdURQnyfp)c@f)c*nLKo-B(>Zm4SZkv9jr3YFo09e;~QUI&xSBO}8 z-sGcdMwMJ`eEoMkd5@-~nl(W2hLt5)>-UZD593-FF z5;X0Sv_lD1n>e-I;3lEj^s{?NXMCMU z_;}N>#8n8Q)(8`iVH*GvwlSn}ngT$FqgDR-YhJl~+XXLr-RrKD8h-TXvFkr`{egS- z2Q@zEStz#TdR(#)Bf3zrQuah`({S<22LRaEVc9PhajD>32>Z0WJ%vwPa=gMqpNZ*c zAH!fa3@J`_q_4HsTC&y(mde)Y{Y`(RQajJ~3g87HfQ2^kQ&3 z*W}&j4=@x-;VMZCbtbs}w`rP&t_ad#m+j^;mj?O@yK}HA$wXw>>u29_zNoyRA+;a_L?i z$H~#-^C#vO&)wL%=-jFGQ>|ljz3)FZ|G=Yjk38PfT5F@7UYhFq7=cWu%O=o65BHA zlF>?~)~YNh4!vSa#-=6K8i}}&HjKc;sNCZ|ErJ;p7TVZ|P@zq&Jo$S+m}Mdc{^4WC zvz03`F(HDrgoxG>0*m;8nGhjO2@zYZh=}7PjbpoRdaTzU0MpXa^6c@YuiP`ce%<86 z_?XhV*H8O{Bu?xgP6vZDwYIch6xt)yHyl*f7Q8AFZy=>BbvBE>eCOc*ba@wBPomib`pS0cz7e zNfr3|O%D^uj;r^}ikNtaugf#Jp^*|9rDXqYP~;R>L(lGc?G$5M~^-^ z`{aNA;Qy|?CnGqyC#uB~6YS~IS_wz-VVUbUc3`RvA%3lN=4HRh%b@%Be4l5RrO6q{ zZ6#Ef;s5^E-+B22$`%$COfOYI8R^F*<^r)yBrE#7s~W0u=`J5HT?`mrN%*?RIx;OsIPn zFR;QPe_ZUkgTQ1&)a7ZK%pX?0#l)tmc-LfXw;$>aYmD$3D5WB!jnUmsd#u}@81Htw ztyaslTV|}&GDh`!gT1x8Qs~yDBCAc;ruf3a~E#Ot+teVoR7uy}&BuXhTa&vMeLT_qr*ih&eJQwUlji z6~zOhrpSyVCeD*-BD`U7;TIZ2d*Tsa`z#C)~Xd5ZFHPaA;yBsTnJa@W*!RHRt1Q&PM07v zDt}k>Ww5~7I$fi0O(>knoh6!3biWdA(n!)DzML|yTLI&A?l zTT7xO7M?h3pS2i*huR@pn$EcngVva8yBo>})>y+d?}tg|lOxkI56ODs#Arm5DNh`xRQp&$M5$A9;apW1>`fG{xW3G5?t z9HspzP7>BBs1(PNR!$Zosx-A^$r3o7hGp^%b_j@!$jaMmI00z+OLl!LK31Hw%Z?1Z zs@tSA?2Xjb>Q>29+DyZf^~9_I;u>^cmu4c*+ zoFqY{G))n4X}NE-jw0P|MNwqN#yXP|-EKRw#BrQhYg5YrS{qP4y~be=XKt4#9u#CV zmD9+w9{Yv{Q>vZlv7TIt$yRnROtu*SL|^e_Z^RQ1*`Nx*+m7|$kJJDF)^%nPAqyUK zN&*Pou3WB<=z6VXtySnnsItvszkWk+L(85(@QPlA!q;K}J~}d(09D@mOw8Z^@loO3 zesXxu3s$Y=ECPZv%t}6S!;&S-3~6d1i%k-SSim$$kA+8jN~!)JF-Av53n*uX$f#a_ zkS0kS55tn}O#lEO07*naRA!GY-So{PXUt4ZO^s`97MJ72rT$=$4&ro>q}Hw~-m~gH zQNuqv)ipHfCIO_WFLNd@OSxUEVDt9zZ~#$Q-$TanE2U&o3EPdUg!hV8RwAnyE_7a@ zOha8x>FRn!){ZHzS;M$CpI2SH{>9tYTOuq{@6psHV_UCMN}b-Am^~m)lH}d*{*5F_ zWE0bi4s%ayM^9Ev2v?ZSt6g#B^=cr*3`dLXX8IP=O7LRi&|OV4>oZIq%OPVjwU&5z z%y4c=M#O+{)vJHznzz1r)22-baOBvryZ`xHfAP`(hB<=8TEw)ui^M$TL2wR_1I=je z5**l5Fd*W>02z3;m*ZaWwiiVi84>;dJN~DwXP$ZXSz9-3IKz8n5Yf@2$G&m*w;p-) z`(L~5j>0KQ-xi9B31Ui@s6S7A@?CgDEmkeiVqK5RY0`^{sMG1R+AUy6ZR%&#%$qBN zXu^t?+rU~Ssm4@C5bC0 zp`lNxQflw@AJtl0OMr0y1BZU{S*A=I#KvPG!D{8ll@ZyeR$~VYMDnUp0X3N0v znY9ylnTa<90H7^1qqe#o%fqr|iPv9$+vz&Z)b#FepLw*U2ShyGeo|}YfM7P_{rq)eOjE!}**3125c{%P4(!n69!Nld_(!9~zKna&IaS1hNmoeK&%3^Ui zU)tu;Q2s&#u5#*aQnsO=J%-COR;s5Ny&+O8x4x;-K-bppp$dwVb8~yDpcr5^gib*) z=)v&?=S+`vGy(<(MFn~A)YuE7vBHA=d z2JszT`FK#dNFaZsY(-ae*vZh3pkc_11vq@1H#9Oa+cZsr?@KVTZ?Id9aAHV6(kcaP z)fdmxxhoBJ9x%v_<8L2ke(TS_Y5VqVk3VtvO^ zr(C}GTsEApuxZsz)Iy7ewx})W^fc8@2=9vkMhzxf^WwM10N!aqlv#+FrdFXwgfz91 zuge5Ji5~OacF+nri%|ALZ)YXw_lLxsH4GL;ASQ*%W?sVCGs#+FP+A>1wz#m=S4#cl ziQ|@7n(E5zWwxX4w>}vG9TlDVc88*5`Dn-t3N;|H%G`;iliIB|*=<(&8ZG$6S{985 z5=k2$Wjz;cjB2+`D-wr6G+Jw;RbpM+2iHC_&tzJ{6@9qdYXuO&SQO6l$YY zra&s+#||s!{v1tF7xjT7VGd`V0;F^|Hl^l<>sonolBSTRo;miTE`NUTI$`mxwZ|%) z%xZweQ%)euQU_K>#`)z!6g}+02j>nvaDs_;?^yS;U7G;F&ONARcAd_USW*Dr``+JT z=D}d#MV#_G>sH#HVNzJQH~gkbK>}19x14+H7SU=>&he1j!8xFlP187z#k0Z~Q&QE3 z3cot_KzT5iPO@oxbWPVw!fSS0E%AR&ir~0N!b2%vnw6Vj0 zFxB=waO^wb{04N07d^!*mRL@a?7g&LLk9prI_(sybzNZQ=?)X~LZ2CUwwIllbq5i> z^xO+3r#exjqNp=D*#UqP$0y$L_J8?_PkxRWBp(8Sk&6R6(CT6f04>-Q z={WSngo`>IBAO>V73-GLvVOC|wFIRX2~m-EboS(U?vz(amn#Zx0zFDz>v6@Nlhv&8 zxWP7VH6x7!tx>2)8WKAKb+SEaZNK!=ZU5`P`;S^HX*)4dBtK}y=z=RmsF_##Ab4AT zOnN5i8TkNFCuxljFu2_ae6+2jie1dOq(h^%@v>r0tGbTDBq*rH{QwO!U0Fac?`YWq zAlQKTfqvM-U`&34gfSrX=_u$$POwsFV)hX%Fe@VS{Kv$*)*m9`v34>Z&3m-!!z`3i zf(D5}>Xe{6qZJXO0<9G>OS>Z=YQ)r%tZy@9m5m`#T2wgFFqa9aa(RW%6?Vfyh-XXI zXwA$=jxR1Q^#S0q!*l-mfzdFy2peZ5lSAI}4yz?LItvO9_DX=W59zfT+1;3?HkcX( z=E^-;@XpD)D2R1NL3`PV7`J7_xzQ?$bYwK3(wbF%o0iqETpY5&1qx{IvI|d<%H@Fb zE<4(Ua4h@KxtBSjl63;-N}23b85kk#%&RS^rS)-b>_{2;`6>y4b7WAq{Q5hBs>p0Nz@&*L;-Kf%D5yZAa4+sf47+TQw4?G zJ%>w6A_d4eyq?I|gNCzTJtI(+60P{RURf9FK&ts8;e_Z_#d1?mOmsf@fj?DBoj5Un z|NY?)r?N<%}z#R8X(la6<$i&Bi(#H4UNhc&TGg)ix zU_ocHlcI}gi51Fcmg2&@;Jgw1RhXH;l{K&{N|XY)9tJVLQTZP+V1Yib>`gV;P}b(W zSZkldm^hrQx6eFkaNshH(PtNv^xW48Z0!`y5=p ze+om^W&dJ$(uJnu%nV6>* zZT9Ph69`IWvyQxD*onl>TRhzPh2osYu33`0p%~?L$nvbkSUDy*u$2hdQ7p`R1qd?R z2WEa^iPo7UP3=UBBioB;egonguCQ^U_kDTdUB3wDzW^RzTyL!fa$GDTvM4EG-MXon znd!gzz@Gx(+}!-pqsQO(Up@kW%$dnRs2)Ioj7_fGvmG!UFaVGurP|J!J^~~yyU?-L zDw8Tmup29B#j`|AlqsV*x2wx`&pi$XClD-b0_L&4h=7dD?uCkL@F+4$tMXcXMRi=h zd(qUw(P$>k6*jaY?XEZzI}nK_3p&o4dZwqRUh|sWd-hymjG3ETyz#R)9lYaS^kNIG zb5#MBaB>*x?E5T%6SRp=dgB17BC8Fz$NT;k=6Q07% zP(2^z8SCQ=*4=z*t%$xztT4n@BAEOr3<4nHBuy16W3-&HQL5J;Fk1xs_n&+C%*``X zljD*=6DKxK>~b$&S|0QVY2b_OHe~}LkSt8eby=}9>B{4Ggd+!JZxmlyd52%O&{CP# z2Ll7hE{yz#OTx+bVmN4VU6BSTy>A1^Ky0wU#0G=874~=P!a_p@Cp4${4%swZJ@73(3nVqro{ScVPWyU zZ$I$pqu>A7$37($snBxQFs`cM0=`!-OsJAIr0+MV7ZEl$k5)4XeAty(tYCwG(~8^H zD>kY=gWpkhH7>nm+Z*2Sn(66DC*RF3(VzU~dvcks193}<0)Qj^dW+)1Hcw&FD4~Y@ z9IUlx+n;PZE8cPjyzwf~8nnvh2qH)=q$%7t`^P)BpXHmmQK2(BL`3;d1%O&-KO8%D zVs`e}frH;Xc<^4?Syx(ly(!jR^6-xLUuFt4kNpHJ1zL`7f+hw?rB~0UsdY8Mt+K*E z>>x^}Xt15{3NkZUk_J0#$pK>wSNZ?pi3V-3t^1$Ihw&8fJ$4 znaYrks5RF2baj#>Cw{DDZ^{>k+EOrV?tqgEv#bx@EM|7fUYSy=-EMcz|vCx$zzL%$izQ<{Fu=y zTul{VJr^J^l{8TbLXNg#Nmdv>#cs?HPG`H8nVDL!f01E&DLTGldFhb5of$H@wAVcN z1(~b27kIL{B7FbaF+!be9eFH6HZ(l0Wpql-e`}V{4efwc%zVwo9XP>?0iYqQ-<`X9tEu}=f6r=uW0(9C@YOOE}h=_!Q`DaiHSrH&IDWw?^ zr`JtQubbX>;fsF#*WY&h*xc;wvG@J;N3#A5RM-G#+`!9b5NqkT-uXYDbM{%6T(a}Y z*&|OJKKwg>@n=G@_pP02aT^T9cK!%ko671-M!RFtbJL12eGWYt7)Y>Pb4y-ul#zf3 zp%}{o3S3G0RsXcr)N{vi4)Ia0-K_H*4H4~r`HP8cCiMgbA!7q1EdI7_=Y9Bte~y61 zj?c}`9{a!F_xEzb5|vR{N#uHd^vXxSZRtOyO_WVk(whJPWyrJ>n{uH3#g#S!o3|XR z!s6oHT9Q~`X=(`E-zYf1>BPhvo0(;+%lEr;WgVcjii|Q^0fJvg^}(LAc?_?+?x)EU zZ=ZRzZDJyB>A_euFE$P#BkPw6qJ>qio5bf>YpNRJsw#e&=4K zx55n*u`ybxn%QHE3yXcF@Ug=uhzkt?@)k*&wjvYkGG*Hp;b*CeD{CEj^ZiYK_O98* zD?>b69Nxa{;BVfk=5TGETS4KD0j1W}AmEHGCKkFqY+IJQRQe79P)lYx0|3WKLN;v~ z{MZ*C*|c%p^whXgx;IFdm*ZYPS?553RNRe*b%q zJo@D?-y$)SQPi?&Iv5P7PM=VHL`Y(nyogHa3c*iSntZEy=|jWnuzQ zG^Cr_*c%^z8kuCLWF_P8kt<)jd;k7xj}DSZ^JD3R%wk#_ZL~sF0$kM)53Z2M`8F!o zY+cEd-gr^^+LtWve9oNrnGiMg1N-2Ec!3Vcpu`SrOJg4N?b30~{xeNO+lrvVg7+xMySF_i4i<9b6P-@Cqm?G|J{^VOxnjjD z726Oc4{0JPV8`MuQ@cRLd}Wf68fluo@2~ztlEiK^P-(3*V;8M3*ko}7BkwXgcI?D` z_Z|AgCqI|_yJ-`s39!1M7(Q4O>u?&!@nDd+)b0X$Xf{DVDJFD93OP?R0T51CNMZmm z#z^=F1i9JZJR-9;-(XuzDU6Knc3NZIR;y(k{bu7h{@u4<_zYoU3ARv(k&efsxeVwi z^b{a4SRw}Sh8wc5^ME1aCX0yB`|_gijshe+Op$158Jz{&N=tq zH}>2Z8bCLI1~HHTNN@rOfD|cenY83(DR!1@$x`e%5gj=REk$vboV7eCQ4~>wX@^oI zOQIM`qDYYxEt4WG4gd$t#6%3;XaJ3=8{Kby?~do3s@nOntLoG__ukj9Ni>zbwSe{d zy?5_D_uLx3`u4ZKuafIFNR$v1H_FSiD_e;8%9#yD=TbNu2Wx4fh=!k)6PRt~hC{(r zJ$$b0aS?UhQL_#e&$g)BE=(p7BFh`@Nf4-R-@_M0-dnN)kxewY#zamXK}Oq3JK+(M$pjr(u73gW1(W}rP63ehbkfGDMq9tW_`3sfR5 zpx7-0CN$W=jxjNuXWMd3N)eoCBRj3}yigp=Pl5>vd6S~W;2c3s+h~FVb2*v)-JwVE z6rOnec3pSfn}762f9jD(?&-8Ue=wTGdJjZA>`u4XUefZ(WRgxr0!lg6g6DV|9QvE(R zdfFnNV-{b?*rqfxR-XnoTl@Dfu-$dwJGgbp+kA5IrlsyR&y5i#W&w9m z6u_fa3te% z1;4dQc|b0i#%QCB0RUsH6sV2i*pATB9&v%LlSe9Pj8#&2d6+)4+`a4Z)jhlB=4NM9 z!r{+mFf4lgd@w4CLiMx3WD{t3nNLJ}lUpUGJ73}sdOId#N>4M4gA1CXduw0?<-sv-t0vo~ zn=oE$#%q5&+9c}s)8jR`2LCgm&GvMfu}OiE!{yyTfSzQdddJrSF-2rp6|k*kCmQ)(Wh}yV}E?#-$u(d{)Wv@S2TU+1Q z*zEPXd6D}DclFqlk3D(fK^}1;Qk-{lFof$PTWV93MOkX82@0K!&^cEa!{40q&Pyrg z=4R6@WhTXYS+eUG#KFcj+4iJk-ZWCVX2~+0Ksh&u0t- z!^=6kW=+o+)h0+J*rZB0e*D;TANq|ysPBlPVPS@K>Vz?IQ0O)4fwZIko7YUC6l~Ya zvdr^bYps-;ot>STnNd<2pCi-MHPv@XDMg}Gr_;*PBu!+JsCFxDw~|(tC?(VdUe;+i zD$3GGiD{}5DUG!P0R<`)*5lexk!F>r5G-~9rc}YZiID|gC2*;4m8!>E@j%wj~*f+g^28?M{h3lEs6=WU{Mfx;Sve~=osRl3;;j^@}(;G zGwaz<6*-U*0GHD!g2e&w12{!B!Guyfc^8ME#EPg@x1f^m%8e5f1MM0J+7KB8k(D=p zprzIbD5Ol3A~Mc<&NvjwN{ph^mcSBf?TO(g)C#%F6u$R%f}x)@ic^PL#Y=bsDTpVe z5Wo0ezmKf3Dmb=`PGYey{~yfm zza`HLYuOLZLg-a8T11hb%szrOYulJx2j zR*?k++d#HFeYs1CfWRW50HCX{zT&q(@~;qaV|{CRW$o8~b z=NRR$AuOJ<)p9X`4@Lax3*S6u>pD+}g?AwwJUZTMt)&!7$uv#dt*n))G)>Y(URa*^ zXzMQpI*VT*5CXF-nd@XUy*SIzjop9an;a{Qp_vZ{-f9e&R=fQ{j)wlQ2FDbw6cDvV!&q+iHcR~ED3++lEA)3z^y=Z<50gAP&P#2w(-rBOH@@xlm;C5E zBoLqg1Pll7z{Imr3F~)>s9($v%jD_xYrl_rRQ3w6>uV3QVHHb+IrUU2IT#GjoH@%( z$;QU!GtWG0ta;#phZB{wJDr)C4#&HcWmy!ZE=%^jg2=RlG3zh=n_tX}qAbe)^H)F2 zYXNz0FcvTb)lJ-N4r7CVT$-$uN|S`8r4$;LqaCX;N~amKG$#}Q3K17QwwZ3zOjmXi z&iDPd!Y;`apu097K)KES82+7sOi9jB_KsWM{H{CSv2Xw0y?gcm!0OuCAN|><|NOqY zS$E3jcO0R#XLfF3w$tgf+wHbevMkHdXjJ6I;f4O5neJ#fTwh=R{DW}g(WAHA^!m#W zUBdd&5Mos&jYZ_5Q*A~ulTxA(xV1HS;p8h>LRm{{&F-dX*~XawQ4}Tp-=F;G%0NI> z3yRypL?emKHJB0^qB&8JE~GJ|LAkZUomK8wrOR5PijbnyZlgeJ3oa5Z~xVT6Np_I(B>^*l}b<>gm-0y>xZ*5 z;SVReBMhr zP)5budVfAO5@cT!SUjyD8HNL&_8Ai+V!PFvo1bsBS_q)MO*>9npc}{Uu``L^TQ3|Y zKGc48PCUneI878AWGE$!vD#RzZJJ6UaDKK8-a#z*rUeXnW@&RUDgmI|8*Oxl03e;? zEQ36>K%sRkU|tNE7+Hw{kvum#?2Y9KBZ4;0WQ|GIaT#$Oh7oYQQ{qYyHw}+J5SsB% zK2@ZC0F5QWQKDOoz~<06s8Zk=M1m#YVb4liemWbHD1x$$$G2DPvh)xUc}O|Yf}h0P zeix>6UT7sTO;k}@DFu0-)DQpZcNP~qyBFtXXF5_!V<|6mUg%M-^P((Eqn$t*e8X_H z{z)PJ*-yUd&_%ld;HGOY<|SB$!*76S0FswuA@~~xF<$;*xqWbBYXCr7y%7tKwARLG zYwgl%_rb?s`osTpObDS8M#54h?pt)n60o$rAa+9M#CVZ(>ZZE`*%@rxlM#cJiR{`q z$e0G-gb)dbJk?z%p&m31)BWHYb#o^2gY|5TlBaRl4f5r+$C%rSFyH;Ai>|(6*ZXci z8aK6yNGDU3u!GqX(Z*Tl`|>MwcD*$k|YG=&Z=7T(we5}XFmP0EX$Ni0v*M~oMlf5vPDt$`~8zAU;ON6 z|KfoM9}eyl_3#dwsDue4L`s>aX_6$ON``P+IO``Wj2Rc6!^3-Ag>|wnOBS+N@259@QSLGvX;uyT1(p4mrtMl~D;>v9H-xA==3fEfupq+H2EyitSO4jRaq>H!K9 zYy8dqW4h(U7(_=Q7!xmEa^vL-3-i|6e3TQ}*X=KNce?v#PJ(`EFo0t(w9M>(an037 z7Ut*Tikt(!K0J$eQ1IDwQaTPeG61Z)+leAKE}~<{pS$nAM;?0U$++}4QB2%?XWum} zo`$U>s+g=T$}-P$j>(*zot>MTOOu2E8Y=T?Gkqdr4mC{to(CPsvt7QdXAT6peF z3gp5Gm#w|;6$yb-%0!9NXE&w5t=_2H&%GAjCvkg`pS4y=5ioHeed~Q}5I$foF_1G^ zs}6sR9jnO7AWi^^b1_0x2L-3LNdEv)zZ@cz+9+1X!+2L%)4GM9Cn%DouOPduVs40u zM=Dk%I@uaK8M@6pIOYywBxg}hK`R_*schT_EP|8!DuBJDa27B^ZArk0yws@43& zl5v`*vA4iv0yxBN)%Puo)X5E=SVR$^xC4`{$>8I{!HzM#+7GzwgeY6zipk z1Assv=0&Nbh-5Rc)gOV{*Dd$G&^_c~0lr3%xWdHNxL$i!gj;KegJG}V|HwyvZ~T#I znx<*0ltKV&t>$8)wNj~%{o!xT&CVr>Qc1#vbpTLOGW#$X3{Rhab#-;^)mP6vfAWPd ze(|eZb~nZpMFCL;9!xP}3%^xTCTZFj7~|bJlfmxvy1_QCg7MS~Mug`jWZK?N?yqNv z*1t6O4{dL80L?)AJ8paH;lr1`=iPUvX^M!)PnY0yz?#~n#%9LVEocga;D`noD zoBD}pI2d+&z20VbG#qv}yVoAR;^5vr432H&A|#?z79qun6Q_X6OqnbNV_{ej5pwxm zDP=4@@Yr|0`s8Cms#uY6pd$caE~{{7qfAltR|n6iU`QP4(|JeJS{G%}U6#ejYHf@) zeDaHY$SOV+Dx#WUy%WScFJ}=K(`uG^(R|oWVN8={X3z+;;U@4zshQc?{nuW+xVVrc z2>>RE%CbyKQI=&{lzEt?=~B+}0oD76iPAkWJzO~|LLy}Goyu{H4gr4#;oXXzNsfp;RS zga=iHw?Vb~vqjkNMO_V1nYM(0I{=QlLFZ{(nI*GHoH3Q@cdT&W7t_WnDP5Oa4V>2_ zlw+*y|0#tS*Xen99Y&t>r?b|^KF3vKP-V~oA{0X`F*6f58>ld`dvasRT3Zxapz~h~ zvCOO*Dof3%NE_z^ywM##_1toz4BIUBJcVKZx1sU|skes^Nc?=v#yub=s` z>)vKSb!lU(JF?ckczO+MrsF7u6f@Um2kxkf(dU0DP$i!b5p8a~(Cxlb z>awzk@x+)e_4@ky@BHq^#-D|O4$l;8U6mOCe*Wiv_RVj8W0qw;zOnL`5JG(AD|az0 zFvbiA!;>dp_{vwmnPsVz(ie^h0P;K^-~VCDPfY-9=w+mOv};Q8HqbV zSy5+*gR)`*4LL<rdWW=e_^{sn&Rg%i#Zf2OuueYco+qB^l5TQyo2Wmyyjm%kR~=V#~U7&AEG#PqV=r0#;|a(w}P zCq{WmkzOE675c#5G*v~Z6D5~dyDRH`CvT`#rUGx!sf5=dZ{M0fTnJ1zs47K-yTNb*T*^MYOSf=7p8zwf-why{BK;iirWX z`{N>MlBoB+jlCxM~vsu-zwY z*==9?zVn2|wY7nW?s@QQn_C;7z3(&cf8*P3zV3RmB-rjyn-IFLjSh%&48Tz^qYQz} zQp!s&y|~xwE2YS}C>lf|ghqgG-E%+qb_nj}UtV5iNR%XrlB(32Nb9l;@S*VT{qf-{ z*u638)f5`E2!Zn;xM?qk z=FdIha2p2aSbxuJ{>AcJm8M+cD~;af%CSl~rLW$7?^o`+7l3ZR^(|Ljb;T{W-28_h z`b`8_URizY$tOPcpFW$WX__R?-9ri~RjZY@S}moNRI<})&(6-yFU;@WyJt8UbXRuY ze*F`BX7Aa24hnix(SG-V1G}sRMAPjK@}iu{ECS|v$xMp0r^@{7T-IsR-4ftu{ucb< zf9$KI=-C+nIHPBX?CCCw9tt7%wFL^C%Um=^4FvmlC_s1_g=>xRM}f+QfuvMKDW&ZW z&CVIUzbs2J>O*%Gw9%dt@@v7pbMAKrye{ z;ES%>e-;<1Er*#k#UR-%n9d(0NusmNWLY~)=UOVAYYEw5p%;5U_xpoamrkB|uKSqwA0Hj-i?TC zS&%BlPKTSL(do0xZY&0GvdZ0of;(`4wFHDU#R86J!^h;0Gu!fZHe#ZjFg_ke<~M=K z^&~V#DGs$l2*mB`O={6&-w@-&R3uiybhcOyk2U^9*^(ltDLfd1_>Q@=r{{@%gtueR zBL}sbl-OZnW3(}B!^W7XEVVJ_xtCVYuJ!=nOZT7h*rmF`X_h+Q1}T2?7k*F*dGmFb z@T_KSZjvSfg(WZ+Q3zccB?KVTy;3T{j;egRAc8beLRR4{){+#0rPA7ia*5L4OANLd z=!cAJ+9NWNYw(GaO91rZsde)1#ij~3VkHcN25XE)ASr??Bxiq+F%!G&#>y>zmyR=$ z&KnR%Y~?h`^7z6L1BLinwlSz`HsrEEm8d9+nAPJ0H`m?B%XmVvJa_6&d|Il5`xvIf z7~4H9(sLWw7+&u+({!RU0Hi6O^WBdw-Sx;>BD(eZ-G?uko9iTCEg{vpA4D*SsQV}B z< zTv3)KMTTy!3&)r;8m_L(pSwk@1#BLT#=nVcA`{P<@dh{^($qM2AwXd*s}JM2zVJ1n zQ5|ZT=ZzC@yt9sv!0mtg8iQkrN~XLFTC#;Pwf8_6!s-KsNm^S-;jaqsW`!Ji<& zyY9I4J@2`*yV<+#x|_fL_(O#(gcQnWrsR1t9FCa8ZMR!WDFAG@+pSh>ao4UVMu(5I z`-k?un3xBb){B>4cw29zI_=C7p&e~*mGg76<<>(&wrTiHOkn>a%ygjBfiwjr;UjM> z0N|PD>095%2cKT)*-j=25nnB5&se3DS{>ouHUQ9E1}@{t$LUqcb_j?lQ4$Il{Nc>U zKyX!ANG6YzRP4txGh-HZYpta&U~`jnp8|Ot_FQ8q*m(1>u|$$YC7YIPw;F(MG(5Sn z&0;f>MMT4=svd{oYKba|f>2gxk|?>Gi}CrwdfHWZ6W@A<3RGv?kOmCl&(IP{KJ5BG@@kMOQj3*R&XLVo zDF&cl3I3hUuLIdcKw*YiYeGhISWt{H&%U^FX0`Xq+3t6q-wgI!;j*(V<(TzEsbBw} z-fb-$I=Hxh&#d>z14NN!sgy!V!T3>np^~?~!$e6yR7waGOc_Zf$-6W0qT>yWiPs(i zlF~7QA&4Z<-?3nc_xo3uH@A92B6|7sIuIF_P`flfLafL|7Hu12$@^@0W((3prfGsg zSPRZy0MtM$zu6iem@<8a$Eg`RL|_w%;q#nR&F>@Mv}v$7QsV$bd|So#2@&dIT!G@c zxm$6ta=PViUuKMxB$D&2kkwClg;!MnTt&GoIduRs0u(0fox{5?Ts zjJf3C!J;UcuO)C+N`?#vebr4EYxP-ck%G^IwL*wXF1>g(8u8N#frU!sXq2X@5Q073 zilRV)Mw^X|%@Ze{i^Ewev+#P&lnpCbs*xs%B`WgV`oCc5bL6h*2y7a^Ez#(09G2=z z%i2)kE1Z_vFh1}q!((gt+L&Yt%8IzF-3|C{iLM4{-kv=EKFE#{L8532;jDqQsa*%f z@tkC}wjNa+J$gx&>epX?#eEO-H+sFfPDg0etgDftD9b#TLP{m&U@&Cmlv2t;v37gK z&YwEFdwKcL+RE|`d%k?-{*!~z)z(^JoewudUU${roH*X*u({1f^i3^74I4G93x$d0;DjT45fNxODqlRc zIwsJh@mn{BFtC1X01k-o?@d(U4?alQO%|Ref@#;frNcP9aILKlMfs?_kN{0_z?$=h z3#oFEE|`J>pMu;OAj zZ0KoY-WY;aGZ9EBQ8;xq`cO|Paig0{DUw9CTZxcT8_El9jMZoX38U#c&62zp#xX8e z0yvi@c?u=$A-8w*YiiA5QrH0nBmGHN+rSFp=?H+d7D6yz!mSm?n1;?cer7@h##o4o zWfjwD;E9((lNjaiLSNy;6?Mu5&sW(w{faRX;v?e8v-$I9M)y9oapYj@+A9_=*+1g~ zCapz*##l2rX&0{zJLJ6&-Tm>eer$XwRB`n6FYB0MYm6mpVuwnWPXI!erO!O`>=dl# z$G@$zSIFA3)Yej|HCf9WCkJJ5-p4b~Jp1`C{8f08EX&yGlch>pYims`C1hE}2R`r* zuekiOi!M62fB!y2G)DLPgGV3z&e!hx`ZvFMe^tkfU}Q`gKs`!hLiN9LN_OR4ryc`v zEe9~!%@CvZ<%vRn{-!L;psa8mfzZeS!>OYqB!Em33pH8o>A!jnF>#R3NyK*QJb6m3 z4L6+`%VhtL{pb(w-@o^&D=!a=7I*cmuCK2T`kSK>A}qE$43Fm8?b5rgN&gLNE0QE> zxAH7YiAYM-nVo-flE9N$jUUJ~J*Pqn}5(TXmWEmt0q=`ziEKL%w6SAfP z1R!Qo$U`lA@j-pV(f-m3{F{%!px(K=GIA(-E~_%9{O2So*cbb{7FiHNG7vDc$TFf5ot}d^feDbNgzx_yF6gOUd)y=QJ{;Dgl;GtkFfwcmWKqA12 zXI`{{S3)G11T!b=QFrDUKP@(O+eTg+<#z}BRM%;_ZGz7Pi2y-EI6)VVCq_GTQdj5W zGkM)}Rcr)1fhd~L028)S7OE))USR5<&&SP?izo}~7YcoKu@!_6Xl(R3U?mA6;Z(T| zC~vK2c7m=y`fObT9Jz{NDDlJ{osmreSW5z3GXdCI8Z&3@P}31HQo{#d$FLs~iq174 zXQ*{p$l3eu``Q;i|HryYc9qdiB>u<0ck|_!?7rdZ1Khr5trbFMX~K0P-hOzp1A;X+ zQDaJ3bf!^RnpkU%B_V}Ges~o60Ej@`M#l~~yvgty!J!G2CmjJ142H#-g>ca*d?yj{?#GsQEzGh`Kq?7HWoc-VE^nN~ zYR=?|b`wo6AE2okDr&qS8&*cEL$d{;aSb~{knuq|a+$4S4LzOKs7Xa4qS`eRqRn%N zLO@`O(7CbnG({-We!eqU+~9h@OIW;ZcSr*vy>K=^d3K4cJ#y?0+&KUMAOJ~3K~z!u z;GXsyuG-Bj4CSx+FA-UqW?Acw+i!jATi-PNr^AZ_Ph`V)i$_m>FxR1;Q6afJaDgEbfMMh?Wcqi%y%&TbDo(%4%I8Vxp3~7$qt# zb)i(!%36SAjj=`;VOabCP-2xmp`?q)nVnhKwa6u% zL4UBmzVYW@y=(OO(al#~esKSRYpyxEurTin3Kb-(c!yAUxB$@{Kf0@(Pl2^=@fZ`ki}h?XR-ADVcCZ~Cdiihj4jRiY9LO~)PXR=s4Y4{|1(|uI4RH96yNlUeR!UJ6CGTXsy7OLZtObMC zL??KfAbG$^H1K3Jg*Yx;Zx$;iJN|kJygNhyOJTq#njip9^dFvDY?-j)jOXNOYo!oe zGIYA(`jp+C{SB*CEZJ-*878pW{uj;WKg92XFy4+o{+z3>HfA9yc|94+Mj#ISMQEjSW^GWgHP9R!WUqLB_Kw+)s8=_17#FJ0gm5QJg za7rUt&W(5L?Ed|Gd3n)Vb1I&YT+(qe%Hz+RXkMEoV{}mzLWt0)7gXkV-g)c)@ZW#H z7^8IwL?i`(LIi$U21Z$y8Eb#!hyTV^S04W4r#=^SO5q#^lT^InydJOKtYL069LM%5 zF}Q=+18bV3zCJ7)sUmE(j*;PU#2rr%CNZD`slI8 z9z6yCZ+OGe_rCY`#l`umN`$brHF*4$lWv1U(Mb}r_Tc%mB`Zpz`%v~y&i`}llFjPym7h!M8}0-_*GR-mZCWozr?Tvg*nm5@jZ zZImUG7tqRPj5VG1Ox&{Kjuysn>^At`))GrTu1Mj0_f?_<3YDlNO8+}L*+ob0{P4f~SU4X}SQNM2#m?D3GYutA zGqMO`uz-n~5^t;w5QRP9&fzLm+t3BU#DV{izJMNYsaQ8m3q&r~MZJ}h+d=@saa@M1 zGFlw1FhvXKwx0@}MN5*c>5FTgf)t6>DteiSOW8K?ZRE5K?lIO1jIPE;_!=vOZ7Ih0 zf#>k+uRsEl=%RtR_m*aELPVImF+%lDCE>|A0HDQec*Wu?i`g;&+yt76l67k(p!D-BOF8f5?554kjxl-G?GjYvb7E!20+**&pOf zt6gS)d30DyQdFl<6lje*9yDlG;SUeCIzKp+#Y>E(>O2;rNu&@6q>bTaiTyNOkhZl# zK!{u+Lf4?-9C!%MNmE8WJ&lE9TEfMI;Gc0x4~`i{3E*C59ubWo=!G71R?4XJ|G_-uKQQpP88%4u@q~N+Gm10EX*MS(Xe2{ZpsTj00jJ zkO&PytTuKcNWMOpmmC;yy#h-H#+BoLb@LB0A}qv;X(#2#l#yJg&3s-hgh zcY=&rB92KiCgkwN2xScHa;c;&OWu0ffDr3R*YVM)36ASUkL^8JFlKAN$9>oDz6THN zo}29eP*IdcQS|!5(`Qzh)PMA`V~;(4oZrX$-*?B`-~LAMPW;vw#)f?&fjC-U4|z%L zBw55YP)vu}~cb|}7KcP^}5G)Rgf=yJ6EA*Ky zi(9$lLH4!~0j}DGM6{J7kzEwAryVFDLhYZ@~Y!jVrlsH%e$s@m;= z5P<85LP!*nKhY$$S!U+vEPKBUa#4(EW8J1D2Sqsn9~lEA*cYFCgS(@sNC1H#;Zs-1 z10APw6o^K^?7Yd^))Dd2*-;1~0Ib%!r;99W0YI9z5Wra1SCC~Hp9VswtPsK)t5Fw4 zA*68b#3+=KNt$M9l4V(%rP`!Q2qEO`-0bY!%);X0qy4KdwHs|Xd-=>ggYG>qKKzL! zxqO>3NjoEbcghb>**Y6q!4M7)Q52MGE^1J(=P{dV1qnqL#&#mO_XV;E5gHv4L90*= zQy@Ioi6V?=V=2~B$1%oXvB8LG4wF{+B1OghMs;@U`R9=RhUlTKJA2n!s~lVDW1gEkP0;LqjTHeK+ z-#h{*$RyH5!*kz<6haD1gwX`7Wx~*u^#NgOyTJGZCIV<41MJNLRi~yS!_ZbvTUB^e zm4;n!S{jRp+X-YJZx5=8GVAh>iTpu$Na*7Z%yHE^x-HSw?Czgp>U`cv_d?poHWa8c zkR8Sh00xwwp)>UO&;E2|qDn_BClDDom#QkJEbXd3q~ z*h3@A(6Jo8_U+#r78>gYk#Xl+L_NvomW_>l%MDW0()z&@PDaEEkVceUBP6`xsp178A_y`4a!M1*pp%qEkp*Tn z>Eg{J0>}IiZYt%hO%`mzSk82lmx@O6j)cgQ(87+!_P8PwpwrH-x%%=0`}fSubk^3^ zpE+@IVSeVaOAjQ8l2Wd%Z=E^2y4f8d$}jxoH@@(f-vEFE2lgHo11Z+KH212RVcJsx zNZ(&OEK5Kfl;uLJRcKAtDy3Gowz4GYWLbO}d0Dc4H$aoHlnr%30Hx>o$k`_O#&y58 zEDs3~mPfR&g$S_FmWyqNn2Bg*1O%`=0t8qYApq`a5dh4kzNZMARRzD>%7#oeYJRE6 zMc(|Ky^cVIk`3KhZ`AHsvb1-P)>;=iWCRx^cd9bV7Rd+o*UkrzDx^zV;5o6Ga4JzkF5>Ne`G26BA0I9$e zH;Q$9POh#qn)W-C-Zj21pq@Bd1hLMGO#l_nD{Wee^Y&P zMRW}T?xm#=H(&HD+Tl#H$tfFB3O1LODv9)oF3UQ!va#bBKT%Lk)Z$3|1`(o591gY= z3m8Id1x_bNqdus+uPjS(q-B0^?JSj)>hA;%~BDq48C^<1c3By z42EYAA|5$>g;EO9t(exk(r9h8G1CkOZDax(z86C5-MjbH=`*``?`pS(GqW?yRB`?3 zi6@@&@tKxe^j>)JCGJw-J|F_(bt$;(^LPm9(~TI85`ft+2TxEy)u2Lt1+JCuYqF&& z;)HQEOY@NCb@LSKiG400OG+97p;%c_Q$=qhT!(Ws-fiE6x(&kU5A(af^~kkHulV8j zy=(X4!sUl9;c}T&axfS!udKiD!pqv2UVr$^bEo(rXV0z(yhz)N6H{C%ieDemNL3uPlx{aqy=kJ>dSQ>&r7Uxsk4$e3wL9rmHM(f6Lja)E^kmUVWjhrMfS0!u z;Y9f|BqMN(tnlsWGMEB6;z?*-wzAG})CYhpYZHJ}NvJay1$%`kZ48>obj4U>Y*Ca# z3{havS5WC>LZiZDSoVb!D*e{61tI#*+b;4c8qcAeD663J2gFc+i3YB12qyqQ`Jlm| zksnvweHXC!LSSM708uREZ`W`{8Buh}@Z1Uj$zM*}HsMag(^Yfw@2-YUCCxjcO0I^*nD*$B78zDe;6x9sKj_q8{om2eR z0RssXTpOHE2ZtA40f2?pGGlJ8e()AD$}OAt28}rp2^8M@m_jl#s~1@E%km>$w&H{a zZ>(nd4hlp|5b2>SZ%c?u`GaA8W_b$$UVe35Op1MS)@(wQ#tH;u>u53h^oeRk1MhI; zc^nVQz|-xPs1cm$P8apksEsBSlU^?E)>TCb2#m1;Q3_Pjv4#yRqU3j&b~uhs zP?h5dL|O8oog&nK#~IQwDFs>tp7>JAL`i27tR2Y*B*>>9Nj{rd8`kvNEuOwOjsGH? z5OIuGt7x#AOb*9U=>#I7el-k+SXRMaU@(>O{OD_3T% zwLkRUcO{9MotqUxWUX{K91_uRIJB0^qF7s7M?}tC)mm$<&%An;ryduMOw94PjshFN zF}KUaBBH=}u}LE%lIUZsDY7S)7aP$P<1y6Z=Prnfn>a-Q988+Wqof-)#<(-F`Z?{o zt4R?ysi_4|)Mahx?3 zuH9UwC`c(q)V^$H4iINWu>p2OCUOaJugS=muVhiB1nl=|i9ktNYF!wUNQsC_h(c>^ z%t-58YlxqSn)Rh=bCw8}hJ*miL)zCtM4ZpWLW_uKD~IJ_)kd_O!%7YSuqT8049H3A zougXSwF%5030CVx0w(h38T1v**a@2pi9#r;fGe>|CB_?K? zA}u<*OCb&KaeG7}rQ$JSu0I42=;|Uf(TvtPM5mOU6Ni^%Gs4tDRlQ_9`?B@9T8K)R?i0z zH{zgaN@_HZ{Ft;xM5&b1{KWO#C@vsOmh<94E>vbmIJyT&%sNmMP?Lh%kXI2C=->`= z6t&Iy{5PmtxdAK*0aajPfYem;ISx%(&9iCc?CWm0PeBU`jY!-{m1!^pNfLk*Xq?Lg z0Rb5hQi$1`seOdhLb$Fl=451De01?-rV1&=o|!ea<___XEHDs4Xk!JDl7awCsa-ZZ z)`ZT6tPmbWMg?^1xl$*+@P~fKfz~4sy_rXKfJVgLAV0g(6$qQX(N=djzIOAVL{FDe zOvH{V_Z@W!-26ZyU8cyhC(6Zr%p8jVKi-6|OOPdwH)QS!Fr z-ww;o+f(Q}UfgJ$ScNeQp?F!p*v`Hx`r*cS;}pBLzP`D&wY0RPRC4`wuY3EgZwF|p zBx!fr1XQ$Iolbi+8a?>ngIOwvL)W5j#z?P^9KK|Ap_R>^Sn?JNl-8-toYPfGRA15Ij068t$Ig;2>|$_Fhh3t z*;z}5pWIIkjJ8wnD2IZq6;<9ZAc&$E0b*I^S=Khj%*`$^6CtG(C^-MpyKN$oAWH^} zt&!-Q@ow3!YWw+hPMz@%0r5lqT?pc%V7Nxq3U=JG24NaiCe`-$59I~W^u*73XS~RO7ZON4&vpLEwQ|BaV1t2 zh@yQB$r*`YXW!t6+eiu*^AOkVc`*?=Ana$}`P`z7OXyQd20sm`T2h15 z8zTK0?L0?_&c&oQqd>;=6%+No*od{OE5@9_9nnxwNISl*Cg>c~a8C;X*}0UW<|{N2 zTb~O7*SUYJ$*RVnfBYy3u0!1$t{H2M(FOpt)}s+27LPpo_|wOZE2SW&a1IPr(|%=sLn5W*Tm zQp%zz%A%a6k9LPN*Ewtj;(`5pwbmOO8zH@s$fjAwJGVCand8rX?mzxz6PdXvKGNER zFOP9i2>IXsH$VOU_rEtuQYnQ}2?O_AZ++WfFnIWp$G-86d+)vXL2^xjmPd$Lz4iA_6+VKhNP4MYl|LK;Zqk+F@4VjJZ#OcK^_hg2qw*l=$D z@?8(a?XBDBK})UOp-5Ru2x}Z~bEcHmYz_y2FqgGA@i&Q;Bw4+5y8p`0BAk~OLjKJ*$kfFsFfl4IhRKOi1Qh;20e<( zaKgVBS5+i&eRR2>`yzKJ<;OK`a5n!?IgE1vgtIPj4sqN7gAioTBC;f?0(B)y@=lk* zz?9Yf{^i^xa9xC0qh=7m7;TKs%MlP{S<6_RCYe%78?B_W);XZsI5UGeJu<!0%MC<@8XUPIRGOM@Eu}gEl4H6*^)u9 zIHt~xJOGV{zj61!jILuL8(ciI+)m5+Y&o0)iO3p`o)iIJvotx_v;m-0(iqE`RTMb{ zx@w*9oid!|;l(6Qrz_C8HT!#o$X#V9{E@*q2Lts7#o3iEBA!0G;aRI{0fWkW4n#z< zP*7$!c!k<}<=+%?P#;822L1z%_p?v{pil=ue>91`ommlOs%(@%h|&ZNf)@m$)*3~S zWC6z(AcB;lovAcYQV2fuD22v|(lPE7NaAsA6OQq{@oPITwFy?QZTX+g3ud1b4ah#X}H^l75P!`ilc29;wdXvGs=2QmAeg$ zn6?6fKjdH}s|etj@$oj?_T=XMNfL{xPFU0Lz&J1p7%u#U9nY`cUSQ{cevz1Y)%HBE zY<5!*(Xe5Q)<&R3fVIH@09IF5wKip`(Yb(g#DU$F+)s003=l!T50+r}A{^Kc|Lalc z4q$x)KJmqYO2Aqu%hqkTy`>7?szR76-?1-#>8lg58e%1HaD6R^05BL1l~Mu)A}FQu zg1vpqJkPb(F(7sv(fi}UVto_il8vWPZbe9tNAj`J0GnT@;moQ(}mcx=N;Vq!Q*%ti$O zVGv8L5rDHTg0f<2F=Xt?$G8LBW=@SOKw=Jl{AkyxO@)6LFPDkmAR=~B+C5Lb0)SB_ zHA;Q4ULU&o5CBKI1i%HGwI~T2!@vHeUkAW<-to4puR3z$jW>Mlqkn{mPdxSX*S_`5 zPk-yKiSv_zvJWj0)C3 zLKPGcv^t#H%)6@hR|NteD1(3~Bv>M0T?RY4_&ot4O4+tV#%0i;s4QMXPoO6bu)5Xc z2eXJ)GfRYF$7cdu8y#*$QRIkF6gi7Mj7*XwDT_iXrKAD^->P1rQe9T4w~;U!Ue zKWyHv#>!T+mqH%~Q@_ta$6v|Muo>qTe@wygfP1`R)(HV5BV)^fXF_C`lG=v|Gol;WG;S>3fb%XFj=C;+vr+#I41g_;c4v3;gsKa z6$Z!bd%$>NT=`Jx_9KRPnvD9Ky-JO1iwgUmjUP|ooRUP?cs3l{Dg@z`S>MuNq)9?L z%HbdV-5Xj7K7H)j`yc#Hc=+X)T{6EgckQ)Dl~UGPA_D*dsbjt{*Ln`yfC$>a?5s2M zIdbSdh&Vs9$5?alz^8uor^oTVEgB#z<>jmclv0`WwrcAt>c<{a?SjXYU^C$Y@6chcqQ1NRs5)XP;kN zTU%OMe)y5^q-lx>%r|45D4L+#iQC-}0c^mdBJxM$e+Bc37){m;-KME3{Snb~F15fs z>-8t`Nw4Zm9`mdiPsN*nhKUF!DbeZxH-;(WJ+3fSj>O=5cV0PlHnL?r+?G_c#6z`&R$}AOJ~3K~(M|fIDt|%hgvOdC$A= zy#CtP{nLN^k5|{$&Mqx|;FteZq`GR}bBr`n0I)Qqr6KHZ!+eGU#X^e&)^rr$&@--# zU~dbUR|fAd1Sn%6Yq;Bj75U!Oh$@#T2=j=DOdx%|YM|RPZX)3u% zqti;}F5R6M`qiba3W3!RnU#LGUeyfWh`{LrMZ7*hLo5*|g2byCTP6o9T%PUORVX?H zpl9AtaT|^kt*Lewv*AUvOQ|eUSuAw=5M_n%`HhH*LUX8k=t&a-Q7QyL;kv|>64ntD zXvsRKvuLMx@5p+KH!m=;#A`b1Vukem0oGV_x(EPp4RAzo`s@bzmcz>S0VAcgS8P{d zC{@BpO&r18B*yunp2c@TD_)%)`=Fo@K3k|J?~X+@Om#QBdcB=$Ww#l?3M(8C!TJE( zuzmPHv_RGIz!=vD%|>ie2yF}yDB)sIi1-g&8-_qOoxqR~ZYTPwMLN+KkyjJ|)y`wV zFV>Bg+GY}MRF-)KvmDzL=F%}AteE#k=FGf#U2;aPwX(JH)wLkh~ph^=j%ZLNukwbqjTQ5n2?k63<(yqVnw?^Q>qL@20l6KJ3oX+cbtg!tiEq1pfa- zxk%Fhgv`d!F1AD48O*n6J|hAu4Gac})}m!q^*F5CRfOsq#(Oe+A4$*iTk%n7K! zVJEDU+d59rc>&^hsT|uE>f2}4wH%Mn(2{E>24qgt{%tE>cZ2s6pST+?)EDiMFYZa6 zvX+WcOX(b~Po3FxS0JiYLmMlZyC8_$^I78?j#fk@5VW!A@m*sp=i0ILsSDQISR#T+ zt|HM92s(%#rr(@v_q>p)5&#xk%Mf+0@P{uXS18!Kpz3O4W(Dd-o4k}cYX}4fVL81S zear-bl-N8NQ{0~ynBeMAzO>dG3=05w`Sf}%9S|WVG7&;*iFaKa)^*$$KZBghfRmNBVOcG%&jq=i3lPdVgr=RL{TAg-lcBa#Ar35(2%i*XTjmlwO z6ooMkCpB$)$Iwz79aV2IWUtn64DyvSc5$+maEpHYy;q)mW&Q3)UL|fHYRYr`kVhe< zNR&#_BvCS&DHyewKt1a69NT20bEwk8)VD^Mu8iDfHJpfvLuIh?`>UG+j9&)-y;O=< z!|^}fIf$>R<>H#)7+#;&L^$c@MzQVKkdh+DB?PAezo6T?Kn|zDZX&YAyzTXyzwpyP z$#;!Kt6^VpL=ri>1_r+D9kylmEz_kN8=Hf{a5Nf~Wmy#eFMDqqZP{^^3GTh)oIAXi z@4cK;nHo}3YDigwC5yqbz_x^JW5B%@unMq&0ym}%7}^Dx?$r&wnx>cwilQiJGua4i z<0^#RE@*5_$(C)|8ZAqfETvLID(8GtX1?*>drrjO{bNVOiF59~FH^Qf@k3WwNU1m6 z;hcyszWoiw^768^<@$Q>KmXyM4ewq0Y@@1B|M1pZzwwq^zB$kHR-T(IQ>d!9TW`Gw z!1vz!z=IEcsqv%q>&a)9NtE&v(I5P$-o+E&DuG8rlW7@423*UYBXDCEa z0l@RmAG+r=U+{Mgv$fXw8X*shHE3CrkDECR0KS3@$@F^gK+Kr*!Wd4jfDOaB&-v%+C8TeWA3%@t_%|d;6~ZGbb69CRBHG>& z0N9kX0KDfXf9cw5ULhg}4m=|wzx=De6NOq>ZI+1D{~LXGCZxBB$m_o4o3FUy@^8ES zbxKd1nwy`UoBQeC`qj~g_2kcXbY!|ikz9Z7tW+gk$(ysYi&GsSAQ_@0sCjsS79lM^zp`V52ZSp2aoSFOx|$d>qxL-l1A#Y)~r4@Bm5YyJ0V` z581D{%# zT{)~=s@|TLPCKE$WYO(ibbA3{CqCM;hue830+(L2b@A-l%G$vDqmtmNI%73rLaRPA zs8awwk~XwAvw*a(5SkF`^2C)*La27;Y~G`L+6T9^Uf4X*S6T-Ed1g`ukpf<(O8ujkIB=yrs3eLCSSewS<7;1AFV=g-%32=)PRyQl&j0F| z&xe(#SD>LI!9-AkNp=P%>@6!%o!pIr!~AYCnZt(oAl@iASMd(q!F%F2VR#(?d26GEXr#HdMYQ5wmu19IY5x|vK?$e*oA{iopWLZ`eg+9YxuQ-0< zWv)&-8A+=0dhL#Mnnxfk3zLjpe97hKP5Dv2-G`kV2F{ep{P;eXwb+iuK$pD z!G!c>e`LyoEic`?M_=2GB#Pm5IbSJf^__tRvSF5yf(oejt~Y#UAoG0yk=Zr4^{Q(# z$`=<-Pi~snzyB&`zU#05oQ0qG%7I`0m48phV3LUwZfC@HHcwUkYuGr6NeXL5P83N)E5OR8UPHMRNxmor7Qgp2(tqO zjYcCfap{?`r$^^4UI8l_lp!gzmz<2lThDgUf3j$8$)I30N~WZvbVA& z;5ZQuNhB-@kgMosAp&M?HvpGk0W=||%M(|QN=F^Zj*op@+0bt3R_gnGiGtDbg##6mD&O&>NIx=}POYb&eZcUUNtE?JWTj$7yVGY6Bwrz4v?@8FSa+1LY%F}0NUqL3V}0a}qtdU_e{RS$;<72Bc5Ie zn?kop9*1_=hUg;E9J1bc9L))mm_4E@4kJ-qg|%R85UJI_MAA2Sks@Qj34@1#Fydp? zZP(us9E)Rp430iaW;@8rl@|LsY{U67;u0D+7~H^7cTTprVQ7v;>-y+)IujEUS^yNU z_GZY-n450IB&WWey;S+cBOi{i=uSwm<2XXwgHe?FyUp%r~jg%kig5Vz(s)?A_%K8 z8LV}N2!S!gKt=pFzqqoxKJe@>SBX66T_z1UTBEm_IWxo>U&|Wa8EIA=5^2WsuZ*-$ z;ShtaH}LRR$7pB$Mb&vyLIg%Q#Ojtzkp)Z)i{2y`5mNFQhKUmJkoX7_#9Y-^NzGap zMae9pDQu-ea{yts&M~ts%k{o8j%bXpQf7`>6H*IQtJ6gdN~j7-^i5sncYppiLv-US zE{stfzITNvvc>-0AR>~@GZQL_>=)(Pr8Q%WwKmW5Zm09pKfL9gKVT0$KldB&f5<0V zrP>4bXqZUj+=0`+nIU>uM$Ljpn0UtT!RIx#9Y)~8d_dj3gvlSKiR*H%{HZuMSLV3U z6gbja)R04L;FfF5RU2TvAqkEDe4bj4ugl}TA9+%r;kSLm?zesCbz7!885vtzV<O80Zz@s{Aqab32-dyeV-6tBgesG%9x6S zh-GO3ILk~i>XqtoxGFyI)wfJU7w*~9>-9uHU3$G-8Rg{KYjbA!-kkRwg!%F{iv5^j_X87 z+3}Q43-Xl;rLuVWiypDS+T$lq9y@*_&$8~s#E$LTwr$%wHMME`wk>zuaoZc;aQoc+ z{3Bob@}Ir`L%KbUvp5BFaH{4D;0*vo{`7qxV8?HI3xm8fuvhj6I6!2Dn^G=dNyL=BGDJ`fU6XbbW6 zcZpazZ4DE4Hl9Nup{5c?U4+Mha*oMZQ8g4%JCL%@p}aDtg(89=68nJ(b5%Vkh$xnH zOpMC*Ns}I~QMnre;*pNJVc*3$9e63hq2jLeC|d`$qw8{@VTVm8Il&ASG4Vh5W6|ve z*b98)b~>=LMW#etL|Ix{mmfR*H>&_6J{e*+tuK*uPsBc0%&3AtDmRY&8fmHOZ(URr<&)2z`pv(1*z=%{nK=9&pSFj?Rn!}a zo3P;`8cw%5C#oB_stxBojpN}Y$t|fjJL5S14TthHBt)__y2@lsR%;Eu3`NT|a=ubi zRN|!ZaiJrGm<{{?ONos2*WLZ_$-5su2>`d>e8H8MY0YAm5GjZyMgNn#ts z7`jttS@ySg-KmPLVabvP=+qP}lvU$h$ZQHkRf8!f&f72UpN5qAN)9?P-UnC;k zALD$|I%kfNt(AC&Ftj8 z=D|&l;ow#G|1nA^{s?UyO8R2OEK^WC`|)W=#z65=GJbROW1~Q~1t=Hp;-^~K4L_#c3Y<70vMFAQMo=AG+H%jai( zd&wV;*%d4zGgDn1$Xo0BgHjilu1ZXZBLD-|p+z`Ti5K3>P-kcT>H?3!Q=Y>M zC$P>44v~(8l~u0Z5gkZ?P%XqbWNHXzg;bkGq@B#wy_{lVg}=^uHI=oF*$xI}QMzuY zwZ7hS%nv?(%$DV+9+?FIW2oC{O;2_8;O-o=aGb>YVXVLXvN*&fW8VGNn=ZR(>+YS? zJGX6$EkwF&HEe2Rj4{NHAc=x^0o*64o8m2@gu*h>X<2KtY@#e%&dKsx9}&vZPo2N< zhVF-d`yy-Im!CfQ`yYM`K&V!Z1PDO^H>$H^m=bP!PZ7cYj50r9Zwuwf7ekh*9x zo@uIT@lkq888sJDay|XYBW-gd8L}!0G_XOjkSr=RHlDbR-U$+9j4vfPj-SP+zIf_W zUp)24AARcfn=iQH;?4Umntt~1yx(O(yp>tNfvE8}^Ra)Im$4+J!HJT^${erb&Pqd)we3oqQAWxhC96(njF>~=csR%^?aEkE~jKmE>k zzWvCNqaXR`-#_%wBXPT}RXatmfZrir)si&U)&|iN90a|%hpCljvw6d(Fx3E@C1ey3xzVog7_FWS1)S!sNUT+Y+ zBQ>dVLj5iDfB>dDo}Ah@Bg+Gs?>7|`US8a)KyXY|OFeLYi6xWdOh~%J`jXYe{i1*3 zv)HOCJ^$4NSxsIX-wh;4sbFD1t_tlWv~fu)7@$D4NEN#l3TwlY6{iIqdVG-Jr(t%^ zvX6Q4j z%`XGMiP@!eE*2xqe3q~u2uY~8z`B1ca;u1#*bI}-AsBNw^Ky>*_83Ww^ki3^`Qz12 z4XSrY1C(wUI^|9X-?TKQvg|Sopzvaus@_v&S>E^P(SE=9%wuzqNHvB4B07fB@}RH)Xq`9#7F|pb5P$XuUv=^B z%@^(3ymQ+osigfWgXptPFB2;GE<+t*)=`-ZA|PKXl_C{_RtF9wf!Su?5t?8O-IQnZ=Q?xZyU~0K>_c z)oM5!wUON1BocyiFqI5dW94LH-hi=7|EsmukJW|-*^NnDD&mxc38usqM|B$bYZO8> z5@%lu-$ojTeD}jA?|$e6i(Iy=vwM5@x_w(x<~!bfzADIMh?_T0-8|@gu`J>9kAVn$ z@;(5cLVfYATFG8g~gVo zbA0yf((q4X=Cbs|<v{;&oTkfEV;&V2cv2claZhp14KxMvdkosVhItmF|DrYS8J$J8n74>5=)YK zg-@d7800ltwcqG;9LB_r7_7#R8g7mZjt&LmT1evMd1@N*k0hwu<#hL=R_c z;(>i92viDV2^4M$f_+Au?`g6SeEf=@gF?7=k@~Ac2DLiLe+iiQU(RE9e$ZOi z%CkXX5ux46opU-eHG<5LX9f{7L)P)~YH#t(+Txkjq#vXPDcs;-9XTc~=oKaj zZ9qYuTU8S_?E${)IJvweEiPzYnIef?)1%gOYecDuE*eB}Jv}{K);#uL*q|1eg@6T+ z8i+IrSo22%e{_o)$Y31MDJKh}-&d8zEv*v~=U7BepI!UPv$NKg|MbvF&w@MG{B5%NPorzUb{ zCL_*?Hf#|B8q;cB`iplRvCi4jinTCfK^R!Tn1A<+|9erCy6y9QMq(tkr#fXmikuN>AbaqL(rLrs!95^VU7zPpYJkuZ5Q00!iS^U-FTly%( z)x~tbiX|AA?$;z`lf}Hj7RRk5T-DXuI6PtosQI?Xs32sV?X}T{lOhQ(x1Jgg9o2`IT2BCJTo&Dt&)y;c5$IB zOV%ViJ-hnS<0Qu_LB`B5-SP5b5uEQw(P`YHalVg;IMczZ5;Ot9gq7wEz?X1VslXN2 z6hW4X0x4{RTqzM$VH}pgL<%3{EI5+8V5KrAR$@sDNfKPFF8~n$2i3_6Qu|-zJG}DP zw~}xoYC;5HYnTP&Y@kXytK^Y>P+Th}h9t!GMFQe6O{?R|+jGr!9KSc67c5RI?&{r7 znaImvxFU%Wu_$ex8$-0VK2Q+M>_otXgaK>$#N5)^<#n%H;G|tmsxop2Jw}H7-Q%37 zur^4P8iIoAD);l6L=+EoDp%+1De+p#Fh;J15W1jKF?oWv69zX-^dT{?HZhG<0czN& zC5#1o0wB&=HK74xwOrMS3YK~zfo9SG03ZNKL_t)dMTmz{?Gv*P<=4mv=j_6n)w#vh z*~QgQK6s*X?gW=f;4mOvmvz^S$pD5Z&$G9@_VVw#?G>-O_To$%Wf4WdakXg~quDx2 z8iQlOBu|16C7DwB$hq)`0*F!@UVGCJwfmw~Vwgy?C&jIw4;=!Ewqv z8e>G{fdfnTKXq2Y@#PmzO>_(qmZj55c|`p6@4xl`{%>EjrFG20jELWN+Z*5hgKyol z`-0wjuh;J{EG(|At@ZnZvuDrt`+cIUotJ|F96EHgah+(s3WzusXT?u$6%%jYmigP! zKOpH*W0twO`QcqnEkVxtnxh!&hKT>}SN;!Sw$^1PTU%cbDInC!^U~VKAOFhI(y~Hi z{jL`dA2Y^~(XaFAY+CtFWa5%C?jufWoSn6p)brJ5aT}+!HVEBDE60dH^m?f9@cYxa zs7BiVhvNboA%p3HP>-}=Wpk#bFT$D0m9I2p4m|zr!oukzM~*kAD__G^9l!VEpSbtq zpSV|_!P|fIyP0M0-V2|8;u9B8c6`uP&LYb*1DPR8L=-iMv@ifbm~IP*C@fwWzz}+t zxt@r?blb&Bj3`7#D%FM0 zHiihetFc!V_ZDC(M+jOCxMDzQnzxT=$oQP1VBjwMSwzD+jR^yv>V1_eOf?gQDwXJn| zZV1siw$_=9oC6|SUg;g5J*&iFj89G8UrB6_N!+gpA-Ki{=vy?P86{h*n~SwfNrgv% z$bPAe0vbu~aZC=T{T~hq!)MRmTBhXg?1tl+VUe5<%an@4R*I zn_sv8qFq~dZl6+xXr5=r7(xOf$6_+0IUX1~N>pz|p@GF1PX}WXW5+B6!b$TsrqT+C zp>+TNWoeBe1hLlX2Rpm4d}e8Fd9}a1+Sl|}L}kRhCXHE$s3>jFW3UeXNa;~IJ!Uv%C1KUei{UlBmO+PS0EYIP^NlarH^6WzgJ zu(Y(id1iWTeSLLhb$)W9-|s*B?6cOf08Vycy;pPISQp%`4jeKC%=hK8=~_c_vR5W)Yau8t8408c3WGIoj6m#MirL0~cML6eRI9_3kXVEO2qu1U zm<)$Xlm-NkrC3P}MXa-qctsM$JO#g+wZQDSM4ef3D0%UI(&+oaX1J=(nral8z{m|B zMvf5AHFe~AGI2_Fyy%(R25fN<@xP3T6LBN=`eoVcmjEl>cD{YQ!Ap!(L zM#z6xoTFg9)+o*ZRm&Lns?1=;b0aFVE6I^W31%WJ3fmu)C+5x~zzfGtBZ_s5v413R znM7!Y8XcELy!6WT-4U)T|9=6s}9LFcEzwDez%=`L8%d*tu%UBmY_IHhX zCaL=#H&j+^fEXlkvVN7caTG{xu*an9iGRZ8@Lci2?3~E#WxG1NwsmgazvG*BZ{B;+ zMUOx6zTz4}aLjqDH9a$(=XtB$GR90yc4uejZCMmnR?i%H^R4j3C*aU2 z)h2<;B&-7#aBc)+qi93*-#Wix7BaNHF4_aqc!VL3=K>S*lJ{SI_5A!|p68uTr`5`d zOqOMNp6T)avB#be7VCU)g@8Q&{0qzuB#U*P5;R)rRTQI?vnlAjBaH$8Rw; zwM(oYKuxI+y{(aGQWhE$eWOVF1mf^DhARh|y}wc&01K~{bZS*D5MZZ&Icu#i1`he5 zqJwGW1VG%{T%ji%3XU!-67ydBz z`GAO|KPZo#I@@k#-F7}X**j4|JN>)vnw z)~f;F+ADT>g`(2HWMVF|2xPet1{TQ;iAZKJzAq^8>?@(S5Cp_Ti3@legfW;I0zk*C z8P)ZEEWt!FKD)5Ix;{91@=WNR7R|19jx$5TqKA3H=(d#Tyo@#|=U9wbXWQ*Om~Giw z$7&@GnvHZ1c1d^fSOsbWOKsSwwsH9PM!D+=cT(rZMxWgqCZUZ9)REQp`Y}P6l0)eG z)l%$609@K_q1j#2^AP=*He7vGasDunko_5zI|I! z6vmiduix+Yvn)fRPP?_dyxi{(thLtJ*;8|O{^f_2$~DHcS}hGbE=#Mm(rVI;XKxUH zkXG~MyWaJVy?ZaY^2&XytE*2u@#Js**6+t0H0KzS5Wv7UjTn`Tixx~T34g6BIcJO> z{yik{@xWCkt28L*@i+YN7YqwMW5icV{kGffEX%(1*fWnk{+!7thdZbnC%~5)977U8 z9hi|008ER^plDM*D{C8xOa-1hBilPN-4;ZeYKs8Q_oX7I!Yp;64}>t?rdkRTR57E; zf!r(o^e=-3Li3!cP{IRH9~tiTyNL)lwt|GM*hL|wMt_E>X@J3q2w*6y$ZL>90ERWm z3%g21SWBCWRjin086s_;vYFu%D}&8_BaUsjzW_vB$b>{=LVg}mS(`4x%6@;Gq@ zBIJ-nW(LFxl4|qW84D zT~p1`Yb(&YVBHhpKwcn1{BaJzDU=4^a`ODqGjpfc0N|ehtPbIBXO<*Ge9XLfGer1@EfOg!^)_%8JMtTiBl1Q`-k5$M4PNF=OkZ;W6mXui=m zllUDgoGTK(RbR;J`e6R_>e=Pq@=DJy4v}*g&55f{DAu_s?BXC$LBQDC60?SofSK2O zgH|ikRA!B%{>pQwt#uk(R1_8vnZa5Yed2sxuH5rjO*Z%`8#fq5(OitEWtOICbR*b1 z%w28p`%_SEt<}q2C*!GAc>{prhamvgIYKm97K1Q1_%0j?=*N7SoL}^W)I%hOl^f^z ziM&|lF#xm#Kl7O{h{z=u@0p&Ne$}h4pPQYVot=C7;IsYypeRdg%Rw=Kz~4;Z;Z7F_ zp|oJF4~g1)AzXS90K$eMscir`|dq4j`m|p=@De}QWJd`id_-9P+ z!6;PL!&}fg$54V~Mn5!&*x+LyshVhriPIqDcDo(32&3S;34-fH^~kV`nuzG@9n@WW zJ|YCvh8zJd#;gF_f^1RNdSXY;O&0n9fYTiTkxeZT!G-<^{psAgh`@A6FRoX3NZ?65 z6s%T{fM`|sP;mpC^vn@aHKtXlE6pT00Rd)q@x~{l7>4TeHe(>MBt_Ff6rs8cpGQ>{ zd0_3ri-b2pM)~$>*t#j7T`Crrc)FjdIMA^Z#~$TZCn!K9@(vwB4DLRO69!KPVib83 zfS`v>k~DM6usW{_f*8icRWA6LgU>lPmXR~Ps2zG46F0Ge2gG4VXi=70@88!E^QY2SJb^-8$0HQyLMj|iBBf{Uj2`W~C`SWek zW&^$`8*BBR5#l99?+OJRv%krGxU&Drth`N{HzWWc_dRwDK>q2WQy3(LcT6P|!H$3_x*Lt9ZOp_BDVY7hj4JJU1rfdKY_&8mtP2*(&E zpA#9NId~(E9*sq0yR93#->LS4;doNl8Q@L+^D};dv zrzSgz{RWJogU8lprn|-<5ph*l2EH}f)dp0<)2fYQ9AINv;(74IaXU1IGNWvVI17#$ zs;~~M6%b*vmW^4_Y6{~z!Q5b}HARA%b$1_r1>-A0005kbD+=9OB1C8`rfJY@Lyp-X z2%(v7D^1X_F>~C|SG@FdYD7GG>?9mL@%ZCU?Y-o}ZQHk8ckMO(eqTh2qGaopDS)_h z;**FlF#*m&QNUmT&VjW+1T1jrMR3V(_`7@H`4j%<=dD(rwTv+;4TzIal`DY2%JRyH zrzDWXkaH**;7A@9WyvhY5C{;FBUhH?U@++Q`v2(<{$#}ACsg3YKhQWw4i(Vv{eOP< z!aaM)C?W*wTuumxCd(#Ud8gC9`s%BG{^x)Cr+(@k$B&=*=tuwVzWW{q5)69)pDQRT zX_@hnt06|vhe3kxs83`VEF+_cN8^A7D?ExMj@M*nOuN&GafCRE5FXA<99cm!>=S@g zWdD*%D*$pZIT!%g29qVa983vrmRB3!vCs!ZoavB&%yeM7Bg=io%4zrx%=i56Kh=^T zFD6Xn#qnJwznP`+ATEuqsAnE?@>XHpj+WYnD8Y?V+ZEyr7(^R(!#IU1IxQ(WP!V%Y zj{%89uxh_WBWBN5vvWG{4eWYf=9d^t=oGng&ardWF}td{K$wXT8PYP^(8B8xvnrzX z1^Uge>JdW;aaWb(5XWsezSc1$3k}JdU&Qq_V#XLQ)R!@F?OjQVv~cA9A8es0l^d=K zbC8e)t=CLQ5EDcM)+k3vDQ8GBlrV}7$X}^Zjexb!OoH_aRdR0#OBeGN{MT}o9iA9o zeXY6Z5Ctm@LxqV6Ye%%yy5vbd#ORj^Hd=o6=;^t|wNE~H0;*Vos#>fD@)GFKXdTl( z`Q4v;Js{q2)dg7f^;8Lt-f~do7D)VEKA;hT(g{RE@}jj6gPTEh($T^t9z~*aY)EA- znv?IpUt#m}M;8$B=!r94FrD20S_wu;>PL$1^odB)nH3~wfg1-IE|S$M07cq)o>|9; z=otk>LpagRH%)fBomQS30d&qsU)S2!l7^SjbMqt#cw^|hK?~j377T-0xvU|kq;S?@ z@G{4tVUxK?iPCcvb_|u$HQvdJ=pE(gA0^6dCL${@X|2!psv+!pLp6*^QyxhWo(b-F5q~x@w;#vbHpQc9Z)H$B-GA=t3O(_xr#C z&mDtfv+)1j2T+3d7bAdyJ8pYj{Al`vfiVUVbZWvPnW58X&W?O0Rc%8$_ph!x&_S_v z7JT?2MX~rW#mJ&jY!sZzzIa;Kz@PuQcTG)AS?7wf>~=fMY{(SCx@eUp=Xo|eJNLp1 zM~u6VlN;KI;Zodl>ZwNKJvh$6Y{AYXwgDg|kLP=W08?$xwr=hM z5zMV~V|AGCdx$!n%8LUqjjOqSX1>eH$5vD$0|7}0+US)`;@&*#Txo8SF`I9WPA+>6 zL|JrYCZIaBMyKB*-eE!*Hh4+O0OCBB&?h8jdDd$4)F$4s#XY@X*Gp?HbP6*uz_Kj; zpoSeY1E&zTmcp)>xEeAv?4lL{>lB@?Hu&QOn*`YI#=BQM35G4b%0Bg1@t z_3p{&-MJocgYo=hLP29t{Zz__Buu!9uu!G12pEGtzBj2=4$QC&ZKWYpo5E2ODs_Gc zXo<;(5Q2fh5HdrhVHa@TqgrLVI+o~e)&F#Qx%c#;#S`h!^dex$&AkX~+YH^k09R2(Mayn1ookTZ) znjqsR$H5M05VOnxh_#N84jntqEUW8-we^7)3RlY@3|$K`r7fwaqOb-e8tNd*08R=% zDP&3nxunDh8Y1enGwU>RCnjey0JQR~-OBPT%Zvg4qFp5@3mq$1lfYC{Xh`EN>zx7{ zIM$nKrfH>9GLThho@a)L3{mJW5F*TgIK+3xaYrIKh9ux_2oP_Wy$WNpAZk!_j0QRV zk7-o1)Qe56h<25!A#bEU7)LdW4wJ+qmC)y@$Q=_TQ;gP8)HQ_}+gVxC=f)fK&7zbx(g`g)${ zN=+6;Q50oal#bb#=`clV_PUh0nlo!`UFAyTlxxvQPy1Ftms5%m}L0pzK$55jTHM(2s=TsbXh8!_( zjg#n*L6ajWRs}gps98;06R%0A`sV!~m_cJ}1gK-@(lZT6NCv z{#Eq5r`ib#umV+nY#ODc`YuW{&@j()G6eM{dRYB+p0sf_d8>cr%b2*@Y6B3AKF=ij zS73NTCABKY;rj?GKXN>#*CN&8f2$l`Q4SkJ%4&EWtDtdUZzu8!UN2xriG}gtWgL;3 zL%kAQ32k zAOhAob`T*lB!d1C3bBpF9d&3P!DSWUQpd0(wVt(bR%pfs#qafoJVYeYw2Ee`p_6sNr#?B%hHk|9>tq73sF4v$8$ZatVm7b((dv>k}37dNMi_d&mKGZP%0=l4Z7?H-8CYq zWGV^cN)FyN_OWK90yLDha)lGgQTS`B7p=K9!oR-U*^w^!Qe$fp!X>7z)NzHMl%(K; zg61Knm3c`k2gE}$?;5ZQ*sTp6IFv%y_WKGbRC-^{nU8!brXh;S>+y||h{6@0p`coP zu__>fusrbiab14@@qrT%v=)s)TQsO)5>Xawzyct~|L~sM3GuqCc6*^MfI5YJ7lu@c z4M3!?BBWJ-A!K0`AkAlhz?wsKVu}7j7Ew?YPZW`Z55p?oyCWdZI%CZ9M;Aok`0Uvf z_yy?|It~k2cyEIWK^n3+0U$!;p%$?a!QuHW_KQBV7(ypP!E3Bw5$mTZ$3lpPl)Q1q z5VHd?%o?a9>a$vW0D#!XlfFwLYL88azhpj=VHaYu%5XrOTGB> zJRdpBOM`(&^Tea3c=Al=}m*8fc1VJ z#a9U?_MfUsnGrF|GDBWi6?gX-;bg5{8m@`1qRk<6{)iVBGZW&>%*>$QUloh{2!!;CYN<#~>T`g& z^N+msrW>!jg$aB=b+ED+2x`9N0W9=|yozD$8H)%7ND#@GJkLbh+uJ;u zJ8NxO+G1b^eO_GzjVyFN%SCh(Ky*4!B_Kdh_YRq#?^pW_+-f)QI5`!@93h zBk(d$oV+LGRz`ILjeU##{2M}~TCI?-x|~#kD``!gRAC0X35PVU+WA@-fJUw_H3=mK zZL7jBj_z!bTK1WMzr&&`nL$9FKXP{N^x8i@a02T2Suz~cgK=laVJ9*X{oA*_>e7q0 z?A|`TW7{SWFd1n`oF@(e0l|6QbInL6DbY(P0)|vqjRaszXAB8QWnYV@Nvsvk3xKmO z%Z%qUSVNTAmOLozsrluTb4%87W{3!#lS(@fWdN1O4U@hStnguEh!X{sw;P6U3vGh& z{jbb(NT?FwxOq{0R{;~xRK8KOKhNW=ohkRgwc ziI4?TUBd|GvIe=TaX|!|dPpDumlh)pO=BB-GZDIAzl`smJ~uKKwP_G3UBV!n+u?7Ie4Vt#A=m8o@&!cqM6_Y)b2UL?9 zzZlwVSU!Pc-aQ=uuEJ0iaN~59e(t+?@)WI64e?iLF?%n(@Kc}uY?hg;ue$2G>#hNS zEHmv^YsZdbYZt2k03ZNKL_t&?lk00M-L>_#wQje2_Uzd#%Z=d zVQ1vm^HH`{f4mtcHseGot^~U*gQz^7lzfwGS8T`7b9vvLe+_p60KERSw_JAVC2xAe z?blp=&A)m3k3V(bz=@M5KJbx03#3elD6aHjn4CtuGcUR;5GYLd!_^952gXDu9^%b> zom4^|Gt}DbVhOdjIMxj=^NIb#(G;XL z1(4RuVYKHA?O2*HqBJc6Hg_Y%JI=9h4+g3FmxB-@>8w-jgR&!##0=Eh7cklTS%k1E z!$=AY(wVsb-wVgj&YfOQRS@Y+4N`M!NZ&{kD^va1e|XRBMD)t5cSq2ySr9&I22nK( zx{*XXqA?g+A8QQ-ak*p;K1VIhCc=;b?3~N83`ErC>SvDOMJltNW^n(Qx#MO{R32AsbpnnD-8ToqUn=Fwi`d4G`r3@#!6bFIdL3yvs)X(-1&HLuA8HEm3YoL1dL#kEuXcs>F+E`}6r5oTglj*m*H2rE4H! z-S1^cLBWjdoDDhBswV>gODr+U8o?#e5x!egnyJZhJ{0DQp*#}`yei2X*RQcbT}W+A zT+eJ_B1I3zn0!pmajLBxeh%Yc`d0ytH-I4Hf*Kn|+%i7!PXC<{Sr6mkH07^h$Er(U z=E1-{b>Qh|o_#LMva7D#ciClow`|^gc4_&UXP%pzUpRGY)|G=i&#u3aws$Y-w0`Ey z(!y(A_1NsAKRngb@BA+p*LSbsw^X8 z1x1+w;CsLKEzUW>a&2AmEE^!U+m?vh?N+DLQCK-QH~&{3_^;81(dl%!(-shRP7C%V z=@_cHPRUpI{ra!{k}=uE7hibn=<&Ng^0Ci<{y~oem@NQ_5RoAhDngUi=%HY>iUv*y z#VC!4pgYCN!{Ig?oce04LF_jPEh_2puSVL9;fBw7H{mEf=!;U@e~ph|&ch3+#Uj=@ z$9$d;>z>cv_vz2x_r5zneA{bpx%~1=-}J`Y_g}sL4Yz-rb?&JH2Y&mHenn$^yNi*H0!cM?{SEGl~BD%8~Xiq*Vyx(%-maK49iHE0F(n=v~J(t4cz#eESQ{_-SGAiZCc)PWE%4B{LMi19@; zq02ccGr|v1=29xhJ&Fur>uQV*sW%hqzI_R&#D||aB_bLI-1Hj9;0(m{T-ES$`p{gY zpZ&pC?cKBGhW&ezBfB4VL-dX$9CkoviGx}B4@AeD858qs8mJ=H(WSy^kGYBT&*OHZ z&trCed1Y;|vf5u>?bil9!LX>DErnAhd%qV5fODK@CV0idj*7^_Rl=e`qHo2>9F}tF4 zW$CmH!MW;iUK>oNOPsn7>lHNhvXq*v+#l!@9tuMhOG+B2Ud$LyY>1q3+KAzEL?G4y zQl#rENqQ;;9zzq4tg)3bsZR~La6I*GkomCjMvg;9et#tZU{H=)Yn4A9*N``ij2m<0 z^ZCPZvn?DCe;cnYb|?=2r;!|_Gzepn3t(avdxrGTi%Piy!fP(STq~4)beeMmYoB`R z=>tzcqd#n0*IvDoZ@&x?IxJ$00ojLyJGbw;^3whNLGk?lgI8YqH;&=(ad_-0_xPbz zLqJH(ZAgO5l0!8UQR#vz%-M$?dbIKCiO`q6i=M%&3zxL{{#t=B_3M@UNludc2x zE}jN}{-A&7Uw*j8r#Nd%8|(LMGSBh&I5bWC+OPi7jW=GOnJmk*JkObV^XAQ0Uw!4; z`ueeB$M5>vkA2|_52Z3BRrhi-*r`_XaBu5x!60o{i(#a7Ylt&x6MT=GseM86%XfeeDz^0_hq3ElD@AVFi17T9vUAZ!1gX~%AE)}@zF+JjGTJk zgE~0IOsy2znL;cu&A7r^=UnCh3pd#ZYb{Isi#SeIF||zzJC4uQWSJp@fSknc*Kkqu zn!qvTKQCkA7a=IYZYQ6b++r;+ul82g2Xz5u)DI_H64pKeO!}8Gx!(8nUI@_UP){&yY1g5%Lqw~5F`CTi5z$X| z$%F`4Y-khp1T)kRFj`wYE_!0LS~py*Fn;*E0ZNWLx@>6tkH1t!nUFY^M*PO;)~_?f z!;<0(87H6R#tONH>|t1hI<5$997EhVV-rxD&;snr`kTzzLCGuYBCgY=&NBy}f8h8DrFBR$A*XP9#Ja42q&CMEKcf zo*(|Gt#f5rhE97Ii$x+}HOAcaH+Qz%?aXAl!Rwu33`w3(PE1_0|LXtsJ?~yyUq5y7 z)bSH1|KsofacGC|V%Ld|kM$ui0Q!Pr5K z@qJURu#69@$22AxDpS*C(@EH$m_%4v^%p37Pxpw`0vG!jhQmlmCZ{~l3BZY1YkOsB zEgCBm1%VB^W9wp_llSq|V-1W~)=4;L%5dt0G%g8=fM7$F*vpuB++(h|WWszI5%Y{T zPj@#>cFNK&ul5IpgBm6hMDh!7fUGs6rd0ksBIS(0v9vN1omJ@+Iz**~ykk=7e1bu+ zLIJ)m1d-cU0Cnx;4ULjRe=Wr7;~;^cT2Oaw+kW?3Z@l<|nH%=+(UCm}kRcU9K$X9N zY9`Z$r}$)azV8Lu!~FCk7p zP>f#HjZ~MTfO;FkJ%QrO1(`uGM!Xq94LkSzu8*Fs@_I$U`XCM;)F9EhmnW@#c(G`@4;p3i})P_b@K3>@ss;UU5sOC&EC@KvoA`@W-hJ+j|MIeOa3}`%P8^SNHyV52Ymc#JE3LVLNP#>?;`BZY-$7Gsk!CZ`KPihOW)To zJ^GcOe)KB<@RQ$r?KfU`;f`&SY9lj(jc!guWQdG0gg7xValwvVue|1#H+{>QKmW*m zcYgYDaQxkG{*EnMwgA9jQ0Nosw5hOq2XYn5l01xoJX0kGFoSi%uIdc%Rb(B2`-~Yiseg6kV z;I`Mk`dhx`mRDSJ^`HO#2La&BnX`ZU-~RUgNAIa>T>TCknwbF+O)3=^;J8d`c3bKM zS}kzC2Qm%{*15JQwA;}E0G#PywFlJK3U$c~vk<05sVf5rzfM0dZC|XQi6(ou@;uKn zy*~!dIp>TuP`G@+P%_6upa=^JNt|`42|G4c4v zI$k+Z9xMS3VP-Hht#&K3j%OEEhLh22+jLd)5&JuY#Shv&TNX_n37|^!7Z5G~Rm+@~ zJPW$+^#EWeuTM0V&51#>gX7xTaw`9=WJm!4@7g}KbL*saYz#$>KcYIoLgYnwU7Czr z1-4i6BY&rAgB=G)lxka}))$h~nqICIVGyJr&RRe)#>~zy_XZ`i96NOuLffCxmJq+! zU-rI%uR7~W-QMqGKr~ZaVr+!k+O#h)KL6D95Y51WoW{_+6PI>LgGs8KX+$El&WQ^N zYav!570Nj0!DMK$hY$tjc`ouw6YoukaM-N z(Z;B36ts)+dl6hi@;^yFvk;{Y5F&pJ03sPfKl~k6U$A|0^Hl4hFCY1fzkf=J>L_GJ zLghRZKsfayqnMhunqnNsu(S<^M!drb64in?%9V`u`()%l%ZBiJvZ%F!7=8!$+CTyT=2{9`mSGk=bKM0EVZUD zeSUe1TH=6ooJ&nP4kL0qAr(gm`Lp?$JlTtP!xmyn)P4 zFD^p_b!NKFuFeFXW@dc*zWK$5VU!S-cN zvjYGm54=&B)YMg9>B9?5b@qX;s5*_#r7(ItF~u{H}MlX^HoCblHFTQE-V*; zFm9|pn7rTV(b-_71<(vM91=Nl&4;c~8n}Bs0J=@rpW`at=w|ne&dMtf+6#Jzi|8ZEt6X}Pp+;PB9Ixf z))i&Bd*_xnzwQ-ti^~r_cH}Sr;ef&eU;y&=O8t|l$@hv2LIA1(yD*^(pTkB?dpJHo z4bJ6TKcD=v5oIN#GU#wY=SZfExfDCpzW_4v{h(v^%HB^<0HIY4r z*|c9xj4{?)-H(R>XuPGJV*rQAf!3=dh;Dt&t4nJUv1bQr3Jk%(xVpOf#1l^~E-nIq z>VU1anog-bz42n;5TpznCSfOYx6l`-Nv)a8_9=W;^w@O&4D?3&)ad*Hv+%|4}bAD-v1|gma#}*qF@+BkR3va z#!?GgIydIf&PBl9on5ISQFOONOw$rP0Ks}V1z@8^I$m5a=My*9Oj)pd7hvx;IGod9 z6Flciml@i#V|wB2`s(^%)c#pnu!0~)Rb^r-nM=sirP>`!h%Vm;W+HIFaQ^a_kssW( z+n(ONdFhUQ+vV-wpQIvzu$au~J!yzsumM4=Tf;g4s?Mtr3*rc)C_*)4q7_rZLOSse zsT2BM03thAI`(cnfTy3I&GYQU>{%W916GGh$6~|>=KANH=vX7#MF8hS1qSf|9(H{6 z#xbdM$<=b|+dzC+g5m^y{*?_j#gAYoCI&RJ)z(#DRR5ks0i5~hn9Z4r&{HP>7idxos- zSTUVIXQ^ad6r>M&6}|bEOWyq2t9I|4*|}{>N3NMMLA#-&MKkT&gw(q1J9j0d~8uQQOe4;H(hY~{@1Op zt*xxAuBNg4x*BA$Bu2rkTI0!nFt88Cd_7; zDGSN-yw~fEp78tmhku7T1hfLccYNm?27^JK=Ve*ud9E@u5$Uu$XmEY4x45{dP}n&) z7z~adKf%n#WFdW08Yw-)dLbG0QEs4n!IF>KfSs=L#_UMSg$bXzUelG$L9^cRM+3WdM-yYIO5)^CWx zXejjj;e#R^8c{uB6A>paZSM%7SfWDVs8D!Ok9{+Om1CT$izFy|wJry$I$Qyem4Phw zK?HT{)keK)$sN{(iww`Q-}24h`mewL`?hV}ss}Iuc=H?Xc=H?XVCIkh-QWM-fB66< z*MvsLSZh};Z?c3*Vt&}D1O?e=epTs$@t1m(EJ;C#e{%(;?%W7l^vgW)2v`gNi*7GC zP}+D#z9J0UHcxDx>K;3FRw>lj!cfVI-l-Na3 z4j`I15faM$6t*Y|?Xi2|_;Oj=qOgbt0MU}tI?l1RA$+MWT5gB~5fTAbH4_IUm8Kyg zzWZ%AU3%eGLv;PsyLE&O+N=@GIT#AJh|myh+p-Bjdc9(;HyHf??7exIWye(~c+QEq z_q|*yYcHv^RB4y2&9c17@*=O;vYGB4_<9;>3_ZOttPKoIfBnrgUvD(aFyN*EHwFWy zF{TY>Z49=s!HbY&?Ym@2rKR@D$~|A^d+*+ebLNi|5jWnJRbFJ={*?A-S7v49d->kI z5x@AI-_mz#eRtlKW%-Bi`-lC0wYc2**lmy9{?MstqX5DX0$>a!#FZ>sgdNOH})QBjSFX^r(0PB4W@eyC*v)b2&?d>q$Rfjh)M0X5j zd2fxk8loO!p^E}p&_t5$j(rWI??#$J1^|G6{oa3i>)YS1o0^)}ksH+gj5vvS1ZZFYI z4~e>iymt-prF`Nud?;M? zX1sTC0d?N{s&+9`S!(C*eBkhrQ)|y0-<+Om?Un`0DV<ruj5KUVfD^MoFxU@Dk$_Wz# z0DHf&vDxoeoz8|arqyaWw_X&su4@74_IjsIo_hM}Lk~RosA9zF=_xfQ=t2}1ZIMYM z!pR!((S&Ig>QKaLNnR{X=y+4pea83dY~qK<%8GC>l?4GPo&$%(&6ul?wm1Qf%r{`D zHF|WMGHGh`RVR;$iUJEe^E)5@AGf~ijaOZD$@cBrGARXEQyy9tMA0ZpiLL4$X4z;}u&C~vuSHJ#W z{mUPa&?T)2{h`hD=&=*`-T&Z!``tgx-ZBILBgO*klWhRtz^`GqvRD}4Lh6?9GZ&tq z=61i(0vb&+PR{U17ei)$3XNdc^a!T(+{_)MAnGO5dv?h9005kXEA|$L&)q+N;`lQe zii)KP?cjv_yLyu6w2o|a`|Wng%+50*u626-%9VxLvvU^W2&s_-r>R+5J`lBD1RFbA zXjc{i&z^`hGYpC57uGwQ{i7$BW4CdP0ge?CDeFBrK_eNXas|u+z_Q>20Ze&zIOtJ` z`sU2IoIHyxQ8y8`G!W2szyXz&hL^EQ0|QOcNDIUJ7#}5NnZ+G5tQ;_?4joeLHaTWN z(vi%&VFg44FG2{;xfr6P6mJ~_g`QpQPA{z=I!5F)P zy5e3~bT2UxA{in=$vq?@ zae+7B001BWNkln4 z9LG;rRaKTHj%9xb#mz9*CzqRoM~(+c96Uq@59F4At>(^KoPVA3CwrQA~f&cv&g*EJD3=kB}j;o;Gog~CFhhXb1Atg?gay4Dj;Rno}#$cVX z54t5UJ02*fFp9XmtEVc2@g*am?o(SIVkgj+c~HjiS#4$&flehonCq54% z*Isw|1?Qi0(@n1sfrW)77V*v>b6|}*-P3^_?3;px{=gRYB?%;=z%L0426|htDV1$x z66^i&!fb1We>K+z5ZTre5mA06xA2&mm39=UvFn~K@My2jOs8?)HIXLHBXUcgmg@>}XWeuT= zl0AzgP6D$u0+OakA;!e!;m+Y7yo8Crw2#yEfz$N?*!e2E@yIw1`0w(gWvZgERpnZx z^(?BmEemTconGnyLRpx(*{RF~- zlVaSk`vR;#nINi^(g-MuMG|_?GsZcs&$;i4S%NKH2R-bWo$~Ge?%JoI6#%2YIvm_=TF_u28@NehE@yueefQfQw;!Id;uId4{m=5 zvR(_gM(pW5?BkygwwtFr0@!F!A&>4(s|eG^&kvhbTBKu zUSG-4Znu{M;&4hAW@hJI=>76u@?xgO0J0@tJW|B13qq^a;@B_fy^nF^B;p|6bNUTL zq?wrU*U1BEhHs6nIb+PSv}Ht0KR_O5fyc_44}jyW4I~d>!jVXd(IL{9gX`or=@;cR z*eLN(L>_zW&|{B2^NCOX?RD2)_Rzx*T-E9=^(#a;;b(QIZ^+Dd$CM##Es&UNC;DKZ zo3ebY zp3xuk@~f{tcyON*vb}D#va)j5-S_^#KljTjy&L+i#znMe%NRfl?71*Uhz{9Vw`99| zt=8W6ymyY{PWw zkd4hQdv|hi6Qi)$8iYVv1WCr(z+xEv3IGgd9Z!5!C(WZrN#aR>I6Gy^mKA!~T&xo- z5{9Z4^k1pM7zbmIVJKc8?SF%!2tEH88#<6Nr0C(KzOk`Zr}6dIUovPoL&68<(a~GN zVtv|oKE86tW6L7)hHH0Udg0u3yC8(xd20;vk`R;yv|Ato-b1es%y8%^oLqoEy$hfM zph1IDNz>EQ$yTf9O9c?l`Lb1ZyPIQ=OUz;n8-suVUc7GvU3>TK)eI>RVD`P9(>KL4 zGutGO8TT`_hp*v@Be8XcSA2_bJyj+YMN#$pVdxwib{ZiK2C6{^&CE=(LO8mU2V@na znM;$@P2=^xBW1!v;``KhV~C8k=`5GW#(k-_ys^jW@fYhP$?R7P9wUOtqmLajX3qPW zIqRJ79K_X{;Izz~{q1$m6wb9V0$dgup7UUCwvl*B23W6fp_jJO_)F`+3}B%T2(r)z zgoKX+l$>h`GtXFN;kBN$Hs&|_V%d7{srDDZqM)=&sO#E!uUo$@?B2cG5#c}m#~(R; zdg;!)9=PiZ42QoF8_Y89>;2*?S~}66}7QbHG5bM%}vbUc|S3&pGFubyU>U zavCNp-g{;rnm)qs-?;H0!!Gm^CjLhHm@2Bm+N!DzVeK5VSZffWuoT^OAmTyb)WRAF z%uKho&9p?oGe3W9X=Ag$yt=8V*O(x;O^bt~L`6X=;nT&@81jDj+@JYAn>x3~iI@N} zOH3MZ{dyl|p}0R&Mg5LK0~}qf2h|#)AQh4SG&TV`d_BK9qpOQ)U)= z8=i6#Qxmxz@!SA!_N>*EwR0RAHMp+b9S^)v*Y$08AJaWgM?G>T>0U1D%oLvlNX+QG zun3|s0}KBAkG;jSTyxpE0#aJ5t+HidLBtrN9I&?NCFU?mgcU(?6qjS@ItfZ*iEENR zUDmD>AEb_&WRfcILf)&$)6bt?@AOvIx`-OF4BcMcZWW0S_5#lw-)xmdS=e^Fu*T}E z?W2+sM-L05Aq<0Eu|RoLwla=upKO}UXrirU<)ixMJD*s&^NAG^x%~X; zo37nAGi8hNigx?B_rMH`tMK@9GatIW?Kz0M>@IfBLHMr+05Im?{P>Uc!$&Z)7KT?l zm9d6}Q7WY2=gbVwL2M)dW5NmC$9T(&E;^v9O#)EypZMp-dIH#Hm~H%@9iNp?I8izlShd{s0R`WqFpQ(&ivYzNmP zI3*if+zaPS;kGge^}+%Wj=M55$~H0!7t2Q^<`tlRNX{I zMUQPJBTlO#zl4dukv^u)DMFlTx2n1ZAZw6Cybm#cYIRlL8zCU!$%WO2o>-4#g#mF` z3var!2q<`IW%IFTP8~V9 z_VA%){RVZ;4KO!|GN=a~JH<}9p~je^utiang)NH0So_1@apP-WesK4W8SmLxLm|#G z3B@w!8iG}4aZ-VV^iW04+UFN~Rdn>0vf4B`Tfyu+45r{{Z87ve7Sk@6}hDaXwHyJvZMWp#CJb#<-N>GXQNZm+iu`iRnT-Su8|>eT$`udE0F zKy*Xbfbw*X54bHLWFnpLcyGw`UB9a8qeqXAUIWbDdmNI`j6FNagd^MtWt&|CBCf8D zF=biabki#@yx{zg{N5i9j3$^ltKL8@08M%4YKtwvJ$h+SmOHLdbq>N^> zq$jWBeISoDA|%GMOdMQfH~3Jn@qpAA##KU&PDoxHDbawj2LQm#BF;h^0BZ3Iys-&& zBamn{G|)gYMRuAc)R|jSz3|#dW(AJky~7#&0$Hw_2+Vh7CvR4r)$e?F?}nEF86X6} zDsWzEb0-i3tJQmU@0#`AD~bpbEonNO0CD?{xg9&VzyFv19U?sS)N^;<^}t;Bu@pw3dMw6TW$2WNcBXC`_n zpZFW+!+S46MS-od5E18@!s$OysbtAJE-VSaq32JhJO+*lpfb?Wmas^akctqYXC_13 zFuUPem7zO{hXdXdR!;P7J}Zq{_}AV`#hB}Gz?ao{|>_jf*c#Cw0+-NzH5l8&m0$qVD`jBk*^Z7ehx zWuxuHh$!>-x~46&I<|CBBjtp!ec@4cu+ZZ9y*pw!!v^H?36gR(QOPN7wOx4q&r4U zF^Ct(%A;|dO}?&c-ToyeW2Kxv&AU)8ITvEWpzUc?&^f6R4@WFqThM7|6imRDFa9Xz z_zF2UM11o3sVAPFy0k47yGq==Y(zH~0fU28m z>+8$QD@#ku>uc-lozCXwrt|gc>Y}i}{qvu+1dj7FZE)N=FW?joAV+YJ$iFy}Qs=G* zM9*A1U)Ry5uNz zP$QAv_nM zigh|Au|99DC7hQJQ#qa&jZ&_YiSy)(l-!J~Q_8pK(TCYZ&mb&~fTiX%? z1|D2Bg9vCmS>KxO6@?Wk+il*q)3c|I4RdO#Zdd3%>wg#+7)1O_Jn=Wq$FoNk%EIp1 zIXl%Z6h{@-s&!d|4n>4o_|Owai3svW2T0s#f{futV`c<225ZM4Vr+=`Cd9}VBcP}n zIJV-2P(6QG$Qgzx6mZUfub;BNOjc-1xE5S$}j}8;P8~uBEZd< zJp~3wj4lW$oMn7+))}H&4^~oDM1Z}2=J4YD(#BuieVij#mspmh@YJxyBg+%vj}2ng3KE!Fd~Z>W1Q#0 zg7>UFy52J)SYw=L2qDUxUWgO(tDTMh3&)m1pKT~Tg1UCr8r?)wt8;t}(Hg8h8-r7= zGTUxN6Wj`GsIj+4sJFGO1P2?{x8O1(@0eeDXY8&U&NZ9tt{dIW2F5F25?-9FGtOla zDTpAkZpy#~aYYD_@k%x-krp#MbH-(ixDxACFEix7j2wcT#@tIF#N!W`;9 zMee!h!I1+)6lcXlKW2l4q4JkuQV$2kWT}ZP#@&A4SAXH0efx^CsH?gtOXpmxEZgnY zl~-JT*=3i!<~6U{+}u2N^!OkC(VyLU*S%_V?(TEmsK#7F{!_D>x z2vT`r{>X3scVq1Q{QSd@KK%XjUUd;J<>&E8-~G3LR3qdOuI3PTgc1xN(!!!@4b%m{%Q z^gx)cFQOehS)xwV{>Bmz6*5=~{9-6%%%ao?2fa=)|3MmNrGdtnsTVQ(1B+1HVj@qR zVyzHRbOw#l<`OUm0syS8_nv%i(R=?__nc5TGT=~2C8c?GQN&L=?;8tr;)W3T|>X8%6dSTSH*A!<6hZKxcCqx9#Oz|18Hg$7oy)LZAN}zKA z+tsx@a&lP}6nYRKA{6k*5?$aVH1V$n4tNPJOb)S^ zvnV4N^3IPQqN=J=_zS6>E`FNqM?){zP($7*2{%BSO{QtL&dL}sN;x~oixa-`Rixbz zu>>li*a_1Wx}H>eQayEQ{^Nh~NkrVcclUMIT)k`ePHQcQw90aQef{v!V@Hl2SzqfI z6s%r2{~Xx23vRp`kl@G(_@loDW1v+??G2$YasV zoSvGRo16RRyLJG=efK@AW3CX=+$8USOemL57l}4krS(Ug zS|3#_EN8p}ao~^t_jmt`SH0qflc(mt|EK@`R<~_@_1^#4ZNK{2ZHRE|)&5&c){Z#AZ-3|XJ`s3e9^E!-idH50TUh{%qebMO7mZ~3nGe(Une>f++c`~J&^ zP-1FQBB(LXe2OvK%>VUQ{=dEZ_UPB0b9?see(Rgxa{aZ}-}~T0k3aR)pWXF&6mjfb z?KZq)#?m9!FY(0RP}&I&vvPs8PH%mq-)`AmJ7%`ePMus>TUyzO_?YzYuiRfmff)an zn?XAyMS+%y3v=)z3qWID&0>F;W6|Bva9DUvM(*qmeC(kMz?LJeZ7@Q@}~ z7!g&55jP0b{%S)A0EMNh4oPhqF{>kmvaq(WM21;vmnqA?#DU`(_N0+sWth->F)8#n z>erIMv3aJAm1T(Z9k5TIZAaIroOj3(1+gzTwarPRE*b-Dopatfh(sFX3eG`)JxbmZByH#E35q+oqc^d-TXo~^5Db93|VyYE-rqQ!pdh6t`bdn)Rtol!Ge)kvm~^Lntu}rm-bl3z05+vR z&z-Zp+4TACx< z7h9it=0avVFRW*!zF`6F+{{l~+XBQkZ)igCMf8 zxhcZuoqNuG4?P4RNMsQWQVFF#uJay0{NYc%geJc+K4#Z`Ki!a83zs$~j;ydT=o}k^ z8Ws_|9VGIIC?eKSTKXhvNez)2HdB;6#9rSZC(!#N!tQ}&vP?j0v@j`4atKVN!K!wq ziT#R;UDg370ETo-BLg1)EE5)*PRR^dVDi{;5@UF7VjmE|vpjob@zmnRr|vzO+Z__^ zLFp?nreQVlItjic7CB#V5%JwOAAIK9HQbzS|{UC*9c1kuEwq^nd*L}2QUDcks->*)eKK(nt^ZP?j%37lfLbH14TTC%aHIMX3O}616u0?ds z^@0-K7GHroneg$*ir50Po2SP<*<0T5nk%oo{JQI|K4;%v09agHy6fJ1 zfA+(_H4sS|zZ;3HF~*w0+M+00tx{ERZ@o-zxagSF&#(6XL2u)kHT))9(CifL*|*0z zpU9FN5XY4)ewsRCDCsLx(`i$_@zm4L{pVl&5CFXCjW^x;uD4%$$;G-n8e^DQJNcNo z)7dzA^5p#dd}nh*1b^luzeSj;v!QvQmwN2JVLsZuM^k@2Ht_;6`~ic8RErlB5F3Nc z32vqlmD0d6q^n5Dn2iJE(bt1tSHmrSz;6brj2!y^@_O5_snD;{4*qZTB3{LDH~QKdU^ze1<-_(&gIM98V+S zk9@}~E;@hL^;eyz4-gC|L|Fz1sk4yO))q46^x;CjI?I6nwwdKI=b>Xr+9XHT8VvP9 z0-iO6t@#}g4!y7-B1cayLwJagNsJg8!aa+oMkH~Hz8Z!|%|CGr3f{B#Yz%oGAV@TH zQls3Q^JQtB=d!dSP&+{cYw+0<-Ca9oi^3Gv7;C(j6tO4488mRgKqe3zRmZ}F=!Qvv zScbZ|2J4aY&s0@!h?t3mgDX@|44Iu;V!(@x1;j%$R+y0OIyf&L%0iYn3e?Rb=h!4; z&PLlzMqdr~zOR85#+cZtI~JNuawCmBs7%sae*NI%aH7_XFk?jI;fJ4i@S(? zZ+PQP$c%{IBLI?YSa`rQkb&uG5P_*_@E*K}s)EH8_{+QD$!7r!Ko%_K)uPOxREnfZ0bYC#hq*EX%Tdc5~;6&8LDQ001BWNklC1^lm)$G1aq?&}3k~ALI z)ljPwn`*aM;wAtD=#v>sFW=a97O7v&XbT`CndH1@YQ)2NTdm~X$$`;j_2D5$)kl&N zp{Ci2WD#kscdh`etaTqhbo$82wZ~srQ^j5kj>zVa`N=hRuwYY1lH_!`n>)l@8t*m#mY7&Xf?}1>BQMiheh~t?lFroy^`V%!@nd2`m`t&O2txfh# zwQO>#Oss0BZX`tT-mA!1mBe9IK!gaj;}9K~K&cFmm5270LG;;pD~Dwa#!Dv($3?HpCPPRjT3N<=bYM{8JX}f_R8k&C;*duJr$&o*aa@ZS z0MyPo=U~u~H@eNAp?)s|4O_YOzYbt=6I-6?5DWkj&Cf6X;m7{mdwav+)CF2ha$tO6HD4VV&j|e^> zWJL5ntOm(SIIyMVS1$|{q&I5gaaeg&>L3Z%4pQ126YoN1g|+!iZ%i9VE}uAy_P()& zY%uC-eUWd61desY=Y)BT8hj{9ym?X|{H@>n;BS2d0N!%T%~xE0$y?v@rt7Z0`bWR_ zd*)9s-t)i%Kl3}kAs}il4_T!;cmeR<6P44`(+e^^-`)4bGnW9s<-7Z{cJZQ%@9eBU zQlEOHFHlV1a&qI~6<58Yu4`?sO1p5_iz9y=KgDb_)}1k2qpeoaY85+n%mBdJ>c+kI zKd@`Zj!tK7V`Ci=jX`6=@KYSdxYiKOA&o-wB~1K34fd5SzCLX>cekHitwY^L#$H9n zA=mg}sB8A2W-a(}Q|WGN_){X)Lx=*l?TcO_ka=d zhEqpSK@6B_vgZj1$Pg3@&mLYtfai`bl?7FmbDpQ#MWpqCvdW+)ye=b2eQ1Y9=OxkQ zB8&*^0&UE~hA0S95RkBuXvZDhT8#uGL!?<2L{Qh>IY-ePnK*UAP+>?J*M64jQUu5Y zNb)aAv_W-+N8&2V2%FdA;>EeN?Kt;Pu|xBuP``dfAYy^9(m-+s;d5< ze-32{>znx43wu8Fw=-HCD-1Jpp>QZgk4x;mBch-A5C0YcmX=pKoee}>U0v;THiUU@ zb_NN%-F{Kn7oI;d2@?-aK%$f@WIu9@_hAW9B!Y%b0meXoJqJVpB|us9`+e;J*|lqD zuh;AMvDfR*&d#WW>B%Rb^345SkC_)2m!5p`seV-fVp*0!0ue*$lT{*Jkj^>H@vzpG zWeFh?G<;73>%+gJxH&W5*8TgV@q#HXP_XQ8(56lPuSzxn-EW_f=JmA&)ax z_~<(|I+8+wfxzZ74N3BK310l0!fIR{fL=$nbCZ_QAp->f{LN?Y_?yq(@qrJ1SOosX zKmCrkzwOQM`KEWg>+NqdL=Qds=;!XZ{bP509$d}B-nkN~v%U`AS!=DeMPbXiR*HztFfBug@bN~9<`ZvG%O*^*FU2@TdS^?|P2l0fKR2d>Nn#)Fm zr*vZoVi1;YA9LHM@%ZA=V~5KU%Mt;?#K#z7p`#~`C+X2Z!5AWnyp&J;bqa$=HzYF#T4b$42El;BHW+=HFm6pR&cY2RgGLDUhq_-Ivr)ANQQUeAX<1jnw$H@%>0KD_H7hHMqo`dJ_y8ep& z0UENDWf2PYD1>SlLq?Rc1z`XYGUQo|L4c-BTLu6GXs=2ZoDr%_)EY=(yF@SHjgHJZ zbT;~@7S=nP{pHooV4##xWau0tAvkeftu0Z~kg$HTGk_prU2|ITlcmtdNoY*D2}d9p z3fm9DWohaU4c5+=g{_@dq@Wrx5x_Hh@9SFOgfItxry;bKoG0&PNIJcR*E>%FlHt2) z=qeZx3=he{CoxYx^qo;WS5Qz(AZYZmh*oM7LYP-DON{7~SS^E-G!hdv9+RTqa;|qo z;6Azyk00!gh^kYYbY6=X|bBn?z23L!Symxuvda_I) zqC%gyy!j1pfBTzXcGVTDYio}@^7t>j@BLaFrd~G^F~%SQkqMS%X3snZYYq~_LxQvc zHoehOv&i83J~m-(i0jQM;X(4)#E)FDolg#BY`A##&^SSTG<3}mIT^k-QxsLhSUtlq z>l+kzfT0=EnDyz`fAe>L{WpJC1m1GX>)!N+*Ijqb)i+#s?GJv>|MA!pUp#XB*w6j$ zhZdYG$*}X*8pXs#_^`&9nVA_oXN@6ETkmdmS65fp*E{z=^UV6{`o_k_%dfb6|2g|! z`>GpUU3(Ym5r_;Kk5N&fXBI*d!vI7=QD28-kdOeyT4Xodm%`WRfvbC44vy^R-+qJkQ?qyIFQFC zg1M+r0u6`2m|3f+OS6!@^M{TsonG3w?Vb~%sD~S8+ZIl%K_3$2U^o?r4sHKG_oKHE z(e+pEPc)Lg0wEJEO8hinK@YdybCU2P0U)L9g-}g0sy}AY)KY95*n!u?1kU@z$Cp{aMZJq>5%JD53lO0pmFkQa8;q8& z<>UUL+JY$X&6N>Rls*`om*} zC;3^d5u>dZo!f{v$0bK)4DaM8& z-hIyjJr898WPl7HfibYT1~6ryuuv3$xM%k+RYX`@bi3WMu+I6aLPYFzI;T%Bc;=6P z{F4a~r#D755)k5Mop+wurwmH{U%e`Rf}Gc$AUx#zy|jW=&@ZXP*u z?2rHCf824$J)|pnnuOML0Hc*cxUJ~iL#S{uiy{^9r3Yt zi|W86DJyaxtFFs3DBs#zXFrUCw=Bf|S`JbSkpU=-2}x=hc^o}LO-NMwtyEv}na|zz zna|y+i`qZ?C*O9%%dflosw>`p%PlLbtB*YX*oXe~W1)kajDllpO;HrBR;%4^m1U{P zMP;kCd(WQjJ9qT=?cL~fdcFQyw|C;{r$2MgeVtC{J#T)~?wva>z4(9#tEdk#0b?RU zViYDMO8R>N?fdj1&ec-32mz|f2_O-i0o`BB67jh zGZuPNblh5#%7hAQj46>&qX~R$8cVPvEmA}GrXHs}vwm|UlL&Mr3J9gU7YDa$4B}l7 zah|pE4U!rMQL`X?)371UNC>s*5gRgE01wg0Z~1 z={M2;!{){f7wuC8|tg=`QG{Ugn( zCVsK`)Q9R66vXroA+=z~$Ps2y23pZ@?SkYt9s|&O)=>a^HCKoTYaj{4s0!W4IVxc^ zr~yIh+8M$Se(c#huQG$ek})A^(tCD}tJ*o|v*MHS{c1xXIH@}Z@vMsF)80F9=4>=6 z1Bh6LB)n;VV)ov9YfaKk>Oas6*cwA7+(&W$ zc|>(UaTu(_hT#0-$OZ0&a$JGK=iUe5zK&;_znjr3+he$Vg&pA)57sJQ?;6v?JTd`w+ zEQUy6+qP}f)6=_l?!4-%D>gSbj~+et$A9wYU%2bONHOzJ&+~9j;)Jn=;xt4w#;QLu z|2ag|JVV$r(`^Fu8N$jjNxen@g>{(k9}^~5LlXbIM0z55yk#56*8nA}^JS_mb?@lc zF79dS$ib9Ooz9~3)cjCu zZM)sF)=o{e!@`14*Y(E6MrW<_z@bAM>z&PyZ@&EU%lDtN@1|GX;GARjAVHX}X{2h) z3x_3<)s=4D?~7+sP}TD+?3}O=06ULWRTD{gD>K!*)(gDE6aO6|;BZx)-+rdR-i$pN zlraE>Aprrft-~lyv zJ?I_+>czCx0Z1MqD_MWjNOMjTc+%7hM;B6RjV8$2%k*|zS?hiA`9%@=t9yGs{sbgOov`K$^Q z9)EVJC`?&cYe-+f%K5tX&TGzcQ`s@z+BGn;hk+U+h;4EK!w3rl4~(M+Q~t(K#i@Q) zwSg?+(W{sq0iE~O7=2CjJZZ&vWaoUkxFqI6NM86MXSGb65x@<&>$YH9N7=Foeb-w+ z!=Y3`_8#KId<$eeHp6vNu?m4jUUoWjJuBBjbF;g#vC-{zS2wyRo_Xf; z_dnQKUB33B3vamL#!D}~*!vLVrx__a=ahmM7FSTJ=@LknZ6L?&9UFtc_q)Hm4-Fxm zthO2BjkSffL`EHV_!1_5@ey!-J&OoTo6g?Wa{w?+Cp4y7U1dbnZdpx9)1!~#lAUpesMij$>+B8FszS_V$HKc@LpRO5TwzG1_f}4jzAGeroHIO zLjpuS`evJFRva8qpq9pkdfyD7L$i;I%2J*82(Y}?{o?bBDhM7`dFHLQ%U*ufdAWEZc5sCAK#WcccqmTQ;s%q#w}vyYDE?AIM+of#6v$X) z%BO(q4%C*3rxrbkpRa<#9E{Hi@oP?mJ4q@Av}3_ef!*WkOl(! z*r%;nJU<>~X@BF|kMm@bc&#+oTJGbM=ERm~UpFjqtmck0Se!Y*Y4=a=k9&%PxkDDS6&OtEAYwN;pyCi zM*u~F!UTl{V*(#M5|z_DcSKD~)HzRC6d^Mhz&lshj=ev81yLq8Dl zyWabcn7P;M&sfvl>=tFApxG9MF{C=yzkYurXkHv zO%WqgXcluZY^^eNzu!03=m|>26|HuwRhDFEdS<#k)n?B+*64P-%L|Lg9)IHRzy8jZ zXQ0N{fIWBT`LFZNdk85aEWEb5(Kfw`L&UKmn8M>T{3oS>AAK*ZcKiqbfDRN(Qxo)R zy10%&Va$Me1OWhyApj{0bKv}4{eHc^(eGC-KjJ1HD`O#1*Jw(q!l=z11hK6*3FT@)?*P-UrojzjV87b7}rHtWwA3g58eCpogA~4kbmR`r9B~M`7Fdb0? z%aXb`F~R!hTW>z__Sao@;Jlst_ifiY3<7+ZRM82%CqhHI}2$<&LED5za!xulh$_&^x+@6_1eqN zQ89h(yoPG0R-kl;Xhyh>qI~U)G3-5t{&qs0GW58M<0=;$PusG$nCQKI9MDq7u{rY&iLqk{#TVsVmn2j;LUSC8` zojN^&geay}N;ekZ2;(Q_Vk+QF(cv>A$xG1Kl|C+$rxeo_4>{^ z5hk*kWsMNg8sof2towc6l$L8a&-idR5HZYL*PPvSIXN>vu4X98ZEHZB@3F&yDp@3j z${L3Pj|T*Mng4i{Gaj!(o?r%;1dhLE;$v&b8q&4WdEf6>%>Mhn=i4s5^gu&5#E%D` ze7s**0Au!XUnStpI?uqHHQRR3U%DSEwDw=Bz2t6stv3)^b7 zW@l%2?%a97!2`$Y_3JKqqT0M~ZOyN|@cc5r&9mFRYi|4YX%VTax-6MlpgwB+(;|o3 zZJ3>f>1mj1!NCLYYd=+sz=!{6?$xhY`>!AME!#WQohl8S=+C}{iN8$!!-TyG1mvQr z$7bvaU6l~9)v_QEN_~VB#d^=4xv)m-gf$@r5VaL7HfE(3Iu7$M%2`_wk+QG>e}HHO z-L4(ehN!Cj>7`BzuBFIvvWD_0riqS+B(X>uP)(Jf1~3C^Fa&^xrUkk!B#4DF0Lnl$ zzvo5}Eg=p}Ay*{Pgc+VYx;($M`B(Rx7@T8{x?Bc!(@AT?U@4bU2!X7+_2vuTcGIP= zxcY*idm}<35EX==W`kLa!lEQ-*a{Fi^4Pkf5n(oKj^3KkU@Y1NMKKas`CIlJB-hde z$py|9Wn;5{YGLh#V@ol|L+O9=%#GMLqt!T_QX);8N|TlNkG?jA?kZyl(900j&U==^ zT4pJ1kPBlh-;U#faQQkXEP|ZAVW4b~2qg;H_2R5+pUw&FIje9BJAS`%kxYlG@=zGujq7~^%zJa$8Y z5@QpE&yr6S8A$(#GQKhUuo5|n4_d9345rND;7okX?*5f+?fK~l$7w&d_-)UIJihI3 z4_$rf-l}r#R-w0fBICUm6M1qy5%|;rDU1}fkE%HdBfBI?(zT0>F;teev)NzYq?cWK z$9_U$|N?%7=wMeXX&#-=rZLWZ{Si zVZdVt!|gLNNot=T@ZzVbS~6u;z)?VwLt()duze270yIYR`@VYvgg$U}_rxhYF~9jMAD%j3=U>9aXDfclug+Y& zcwX!2lKM0Dq#mRc+04$g>)I0V81Li!Hd})cNQ4D3_!^@wBGB0pvFVwI1DMJLjgZx@2#RfR!6wcHynJyzIoOm6Ho=Kl#DC6c!MX2(jlHf-&Dfn1P6Z zkVFoiDBtk1b4Wh_-$Hsgk#!r#vrD{@ zkO)NmrsTZW^SNUNfRm?J4dia9NgDUvl32FKj?|`v3qS07*naRN4R0&pm$U z(dF2?mp3VcdLL55Wz3urL?mGkaM^)_fKb@Nfa}#7Dd;uiRlh%ma1Fmmoc)d*5pi;K z7^0hA`)UB}bUK@xo2^!B|GE3DwPj(u-L5gFuIiPQRSjG0_jhKP0tJ_eILA zP-R8ljXEjhdq`M3>3*41`SCTj<)yIaPk!R|PkiEb5xMTV%Wu5#noBM|Ai~{V-+7lx zybV#euKUjEmOW)HAhwL{RW%?iZ+54woo%l$9lCqBbkI^tfHi>Du(F(>pcF06?F!hk?oT0NJ0uYR~tjbRKigZ4PY zOCJ%y5Q2aXsng!A{2v9AKDNq2K$lnDbkTWs8@=VV&0eoQz0?7K+IeHh8Z$f7+O>UJ zpD+;>*6iIiTf4v+4_Qz$vq2s)E|Dt*odCyhi7@Q*p40rF5Q6kmzz}%PE9N-8Pe#fZ-1M#)cNY`Y*rjhKnxPb?xQnvWTG&BPIYL03aP|6>*uE9~T$i zm;(x`*bdqfKqW^KiAS-hgU~2Q(sPUE5C)n;LF2u%5!lt4< zr7y>eC!Sa$@7{oroZ(1tIVuw%h6E49;|FX6J~lBKo$kZW2F&eax>rzc~$adZCzg;AWomX z|6`Bd`o_!ksL4@gqjYa(F>ZR@g*{{=7m3_~ZXe$JC!ala`1q1GZpK`oAYBh?G@x&P z^L6)r^p1S}3+b4H6yuP3NSjS(XQ#b$BGPBE)>sP$Y9Y(awI;lbVB+Wq6hc81O>*QI z<`Co!0KIM(iBzDxxw*Nqv0;qaHak<6<<#`_0}no2*Uq`Rs;YbLz5feexSt5y?RF}l zcI=e;(Xc@On6dK#Kg9r(L#_U8&j4tKb9s} z4)Ynhg9bDenaYU0*BW*cgT5n~EqHcfp?v!rkLlqIw!9ZB^EjldECf&}dnYypPA zTF^*1!f1UCCo_E@QxFsJOId6%fdfVWnWD})Q!jvshC(1>Sr}!4r);4{`-E?FKxVWN z7r+n!q6#EjpbVk0LxDsCR@Ta*n=6Z=2WyRUzT2;brPr^QRyF})VW|^)k`tVgWU$!S ztTwt;tFZfaZ>wr=4IzTHX78@qQw!^oX+kqOWq#5H&p-iS3`}lfPCa<<)dh`+40O+< zCjjI&)k3fgtwl1SZ~+Gav5X_+N6X}X?niHV`BmqIkP4-vSgbKbYRO{dKP2W}3 zIJn$O4&?%9ZYbnbxSfFo6{QlQm&jkIFfwRhE;hS$NHPyCA)?~fB*g|z6)}YDLkhJq zP3kfPQL<2M8Vnf}E@EP4ErO=t!qj35sVWy^@Ldh!z3*4G_uf0l?Em8SX9-n6kIYin zUI1$6UG3`HRnDo+jx#Cw*sS}ZTH_Q@Iv_(2HokC1`tk32^@aO)-te;XL?l={tWkfe zQRfGlR#^~Xzh7^5`<=~RVXc6;+O^y53-<5+{hvR0YGLgUKJmzB??1sHAdHOOd!51{ zA~Pk763@UA3l_he-6t;>XhM=l>c_uqibzJX-ees5%qw7K2$_L}ZCU)_EiZfj=f4OzMzkXTKY8yRZRvI0 zhwZ)3`M!H!nGRsk0X9^E1VONhosvjVCGpB~yd+13%PNs2MG_??ONs5}xF}XHj+GUQ zBE_g#krK;^Wm2F>fekDm0TM*7)91~+_vY2xzH`pr`D34RzVqFC-vcC3iDHkm>=EXb zd%t_i-oO1Tg=cd4ACL8T(KzNHB8_v_TJJb8d&Ihyj0B%LeRk>%5`wmPNP=y9E4d&B zfVaH)^#T%{8;2kORaK2fBWtbm&KhgCwwZ%-&Hwzb-aj6X^AApLZp^5at%ll!5PWMb zpS>xGRB`n)|NI}k@|AaZ?+3fXuRQedCqMa_Pks7x!VDPgIFqOb3Tf<~1+f%Vhc4;~ zY6~B2j|*M6YKdP+Gd9&RmR5<`>{;E8jT#tXmMzTZSIm_e%$4Qgz6keTp^{(2+BpAt z3FO;tK#K6OVx2a|jGBY-|rlV>cSR!KlIF^2mWJu_-OmX7cKs zIDhK=MrEnrtBxF8B!tSEYp*5bQaHuC?{kS-6ubo&tEv!_?joZsX`IF6QW zk}KdCoY5LE0ZOD`l$SkZ)^^M_j_O8Gf=7{FU0zy|3my+gWlEQINl`0?5J@}PlcX#q zS;QpB!6!v=PTt_iqrf6<8uIvG+X-VxoCw9if+AiTOgNb|Di-!3j9C!jz6Vcz>`UkM zSM+<;p@WMdi1#7Uv%z_8oOj*_U*ufK^VlSmRa%^}0+cd*W6UrA)H|%PuekXtK#T*q zWZpuGniIuT+8`;B8+yH}uIRb2%idp*!Fxbd zm#!&V1$HSJu&=|+^U$tme)V45(7k$txy5n*Y@_*%2y1*JX;2X}3mGg91O|a~7dN+e z#?PHuSC?)9@ZJ+)2*F_t!J#;IXVoWA`Y;0X^;aB%cnZyV>zR0eSsxDeFh=A0aLwf} z)>GLSVh-cRRhFzZ98d(DYecx2Or{=)F9}v{KtQ|-|zK$y~cU`U@$m$?)(D}eC2=p?LSaPN5T(`il;M1Ft;F{yHpqe+tc3UX0vR5^!LnR z9PLvdcRlhEz$ZbfuKc>L%h;&rEsLkOVRy6aNk^W?efsOGj*CHDPh{z+$T7q*`U^6c za+cA*j=ckb)!kja|3=;)?k@ns*!!{fW9R!-Ra;wItAWpvw2AQ?*IlDcjSoThm!cr| zom~9v$%Wtf?45}4-ESUVecA3!*IxiY6pUS{FaZ4d7q9*Gk1s43SgOGOCshHLP6z<7 zNV`Ys^9Cjd>kCSg6%lKRu%Go|MQoKoN_I`bzcO_TN%j>;>rbhN3Qt;V)Q;EM7@x~5 zqp@=?0KlcK;c(QfUD}~($Q;(pt)zt$bu&N&2Jp-xGS+x8fXQUS9KQ181rbIRDl>H2j`Vv$Wxp^nK4_KYNFCg zh(e9`{a3HP{^}zdJ(#lzb)S?YXLbKT0`Eg){1a&l0f5F(Z*!(aF>0`uyno%j91y~&+KV6F}j0pO!fVaGWSZvrg;dvj{#9Rk-o#q3;pM3hc zAN|hP=@BEzm>dx}BnKg);DbgMJ^swfiPP(8sKp_$Sn@t7=PrcMOd4x?oPs-}y*D!? z%-#7=RTcpL!F%rfpZ@f#q?U^_TR=pP)nU=FatZW5UU$t6bzM2{ybH`I!bD^(hTuhT zJQLIHMW9U)5tt|7dx4MQYgidiRqB1QH^L!69D!>fY{EFFP0ikO zo8wV_&r5aPc)!&&WA6|5`<1noF@Q+OA%y5*#gb}bp7>~5oLp;T?%r?Q+nad9av)X( zBHwUx!z>?pJZ1wAybQe9|L6+e&W$;>?yv1XZE3t@R+I`10R4Uy0$W=nfb*fUCa^%t z&QO@mTGB<7vORU5KoV8~3uCt3it9iK(OAke^blk;X+Xdl8jk#Mfmh)avTD~>HY&llErvhoNds^&EbA~84> z(Fqal6fhP;2qN4x4v`-{v9-|ef#x{_3JNeJK~(8vkf#5w9WFvEjO*Mxkyw&?fk_jr ztuAd20I;s@fu&wVPt$|R$rM^{LP|5%I1Ef)%a8<%WkK26Ugkq8<5FpI_uQ#f&vJHU zQ^($c#TXFBhYtP49a}L1@0sSsHD#ZI2*jt9w!|oIYjNeZ zXs~vg!+~n;Vg{C?)GV1KjZ~bxEQ(1t&TBar3Db!qk=1L78x#2ns*Vxi$)q6y=K>;Z zY!4rP^1?^&Kc|<6pD}w+^c4d@-t)wTstP&*U|ovY(^qCj=Ec#UkKZzFn23JqC*JWZk#8=ey{iYKlitU`QfKle&M$t5Oo!xEJw-dEJcdrK2(X>LbIFOjC*h;{}Ki9 zEI2xw3YlNbRO-Rpn;6QQJF^~(;#dlgDyLBhh$+RYNcx5S9I2kicKC(aFi$;%GQBLb zTFbis-EX)q8NG`DCYG&WGVnRGva!80I&pSAdBv!+iZHV^q|{XBJQ8{z0<+#bJ}`k2 zw$Ma41^~%g5@AE;@KQgDz_8#4q}*p=xj2+#Jvq>WI3zLVTfgbsb_Y9~o14t+UD({( zPKSIzGz&d59LmbdTKP083v~sE;Jh%41QAf^q%-n3eE1NhI8R8ViqT|(h?T8;(&Nok zN|>wR1Pr%5SXR*)q96L9?=ysfL+~Dw{G+(s#2DM_(KF9H`^Y1Y{p;WOkhRttqv(4n zVw+mMIFC31WiPGaO3cY8s&iT7#TklzeM%TNRc>P087GVTsX0#skG+S~y|)9{sX&mq z1@XMoU@!c*Bfb4=GdV6d(X7_FBI;3n5PKfk17DQEbarkB+lGrfI}6tKs%ok3A;R`( z1OQ|27p$$VUF!AnfdvSSi-Au`O(fZ~YtS?~w1GhcRZZ3N)x!dm0JHPkrYt1Y3eDvDU5UUdi|aAk>^4H6ns#e?^3t!bREE6YKQnO@<^ zb_tbLsTzL)bS?;j06Q0ua5$W-ZR`@_+QuM5yh<-inLI_DcyaS(Me!6tqD_r&Ax6=9 zS{bCX_XCS;?~b;1hROWPaAdG?Ub%{MKrS2sL_}3Y%vv)^;D8_)X=kb+A`$U%nN6q4 zwO3%SLG|dtJmyYimsq?we+FvqrhW^0vMSsN2uclC zifwelSGxmM&>*TZj}W`=wOB?BV-}M|Q}lKOk(nYa*$odgfLdLItua1i*fk@0NCZJ? zbA%v30I?w?LIm#v2m}^H@Sd}wg!h3^ob%rM(WDXP&pdeYqhB~HX)qGzE7>6j2j=_~ z0OTM}gWm{2O7o>wb(+_{zCE3a>)s}XGh~^GV#V%A@I42+iUCFP1A4)TD=&eD#o)* zKo*J38|RJ`aUZN@$|Xu>g{OZ7$^^`-1xv@~IY|6eT|Te8Xf;e-F;j_|@Ad7@xttBQ zmv!;n>i-2&jpvF8r#gXburTH5m!H7Pu0Jds7%;m$XvX`K&s}`(^qMmDz2_*p<4k>u zdedC1VGu*u?^OukTu^>p5_NFYJfzZ*In;GE`?)9OKzs-56YBMTxwzLH3QY#qx%VoYf+t>>@3X(%^uwCuS!D^4wxc{xg2Pr1Au zrkE9TiTL|4vZfrt{~B|oi#uy+v3K)Juh$D#KjPtVw6i;W{HaqWnGMy(Tu+A(a0G5g zCPVNDa}?Dh??>M2J6f<70Q$xZom;5tQR4u>5-AYr)!jC|mf?8fI2`IPZVY!3FbjcY zj<6%H3j6=J3Rw2)?-$MBc>e?dELRtz@tF%%Wva>$qBWKXD;sUAbby&%Ak;KOiyqHx zk&IELkr9E)nyi*hb%vU(8QuRPpm_wtQR96KBe=M>y?SXkelg__x^4aZ3QgF(6qbQF>T&LCL>eJT}0GDb~y3~sPpM`4X`fStOCrW5d}efV5Pgc5I_Wg ziNF|)Cf<)vO_ z%|fq2YN6shI*;7kWNl`Q>5hYw$uIySK67dfKu(?8z^SC>qGpi1URZ--e!;-%}LMCnHlTAP3X~2iE&iRe);iFGq`0!^>mvGt?J~731#R3%}B9l@D zm3`qbn3$8y?NBwgEuA24BKonvd)JGuJ@(35uFh0%&9%wX05YW5d9-6iG^m_QutWvS z8KHAbzSJ>>Lw6fXJNMAJY4Wko7t&fQtpZG6s!XSJ6$EmEG>C1ceP@XDS%Oh&WDS0-Guw+&! zd{{O-djVRq|65M4WPf#CiDv0Cp2f7JFgVm*diCW8ocAgKc;cB0C(f+LIRLe0=K>PA zMX#(u?}G?b6@?H%#%x5Bn8ZwKU7V%R>e@+)wy2wAIV3>Rzo0tj>F7EE!{+bI$qg?VUZ?-7PE!(x%Skj8Y<6T3X!L+*({* zsH;jFlwMsoO@oO2g@qvDPw)Adt}Ex<#`-#dAeqAGTcYh!Wko>UjwMBGPfNG;)+ZDp z7QrGXRZNPg#FBK^K|f)Sq7!C(!S|_I%b7`8_3E50i^-x<(u|w(o};E2veR4^);=u! zzko7tu7C*fj@xfab39;{OB)-{ojCKx*S;JP2E*}SFnZ?1d8JPE$u3}ph)#loa6tz1 zv2B1+nmtxz0H9adiStTM*MYg(0aeC2_D%2zxIG?0n2EdwJTD+F{nNGm zr!7qkjF;*y{Z~yD(j&E3nNVBjd`x3URKtO21pv_Eg+**HfM`~n);DS%tXrtAh*^Ay zMKBVM#*L<9aNu#{H@Aj^Q8OGhZ4p2SfMgSJl`bqZ_YWJrO`L9tH}@gcGuR6(V#GI_#I9QnTK#F$I= z*P9OrBhJDi7=*EfyyHC)xUvRG0ekX306@Q20l;YNcEe=H(&9qBw9qrAhzrRuX~FE0 zOo0`7`ou*MICpVNpJgb<^svWRwlvRb8OT!B->9XecAh21yuAO#OWi zo;r1Y>#NUhYV{ERHLXiZ(w5SRv!nsH9#V)ZTFpm%2#gr*JX3hAKr52N_q_4?TVHbg z^>{MZ3iqh|(15oS(Axq=spu_cn#mXTK8$(dBkSS-|ui5~^hnx{!b_za;J*&R++ zR=1x$wbtud?^!p8_gqybA&lX~*-dM)s!UzkUR{xirGN4{VUf@(P0NdCu0OZ5l&m?` z@LvKUhK|p32Ogdw6Hk@J)00`4367r0jVrITNRlmY>lp=J3l;AXdN)TxRKA8X=5Q~T zh_347L^k`zXLSy_B)a~pgO5CU_VoEp4y-nds>UV;T?|2kfe<(_5h9^;0T75lIC|1Y zBa$465qbGKt%jXG35~g;mEmWA(o)Q6sZ+qR>edF8Se8sWDAvo{p@Zm!OaQuqP z-I2q?;c#(jac5_Du)DiE7&OiJ^Itg6f!Ck@tsAd^XU@0l!Uuo`n1LBWfRLNF;wZZ6 z_;Exv38iF14%VUofyih$3L&hoUz$Jsz)p%}jxW`nV~ly+SKC zjB)kurUD`Agssl)zUI($&)gl1KlJ;5^3J!u=CyafLeJwXEeMM%X+RXL?{ zr>+cCMnrZ8lfiIeh?bXni~TwqDU?ricQC%NwsU4>Lqqy$79kXzebAUOP zGfYS&3qfX~Xy!t6XpT5_2rR;?u+bcyN&o;L07*naR6KR=lJg;QFj&+XBZSbat#h6O zKysL>D(cmCp;y(Ftt&%@IH)jRlvnx!Wa~3{QZbPItE=l|Y8k-uXkKBSi|X`$DQeiM z1iNW&@r(#5|Gk8YJ4|Jm?^66clEHbhIh||ByhIWytZ3&x2WI~C{ZB;&C_sfUnKV^p zMbBLX4skU`ij?|2VVuS&<5)Fiiji7l0K|JHgQCgQ@qqwYIA3!-o!AYkR%A*RMbQ>Ca3i-pcTrE8z0Cfe38x zz|$w;zJ~z-48o*=JV%DNjep4~} zOjcP~TvSN7Y25Dapx^H`O=FDd_xnhAadoYkOpu5hHy)2BO>_3#1?B*N$>1-tCYXKv zB%>W#!G+*LfXUdBsf-bj zaqvgD*Ov+azT>-o5D~xcz2EVwSH0rSJ8u8PZ~d--Jp9;WAN%CT|M+vCO|TLcLu&XN z;ZHm(<`97wFFzUrAE;KMXt7s$ACxi}0xvDr-m{)yM5t~92;f;NYgi&5OWCB>n&{S% z_>|cdRV8iu8?7Z~J)}S(;Sgl#SwJpr?hHqbh79ErM@`mr(oHF7H^v`i@8VP)=&=wL!&1ycmGKO%gjjN$UQlc^HU>cMP%p9cfFX(uvYQEYG_OI9ovWges))!x1RaSFz5z!jV4B#kd zAzEB8O8nc}8E@~7t)T-;{mq@x&hGf^h0UB1sQrwJA zBCKy;4fs;piY5XotJn}`wt9rswc?v${v=r;ig0VFCK4mQwU45WhSD!)DUfy%EC@{cl1Oe-Ptbs3{H53K|hv;IFQ(Yh;NgG?^UTyoms#hCh2oT9& zWi2AJ^ZQU8=4Z*yRGFm;?Jvftohck)MpXBLepmv7r(xi77CZwl&FX%p;&>vJ%X_Np zX}tLbE9Iw+mJ=k*3WpS>|6B-}XSfsPkIG&N`l@~4y0*ICG)O|@08|c-0FCnsHfnq$ zi>SSQj7droS;ZqGO^YxDrZlow`hx5Dww!APxwVQUa#*!r`3q02(EX+5Spf$OvWcpo+-bjS3_L^QA;L{rZ+)asuaN6 z-ulMi+;BK_&M9F)M0R%vi;D}BNn;E>^2lQ%(u~JV(|qZHFW-0H{l=KZ#RW+wKUs$m z^^skx@+|o^M0KxMRh1@&L^V!qhPkMI)eZ$avy*veo$Qq>+0_=er;oJY)jkd0RIW#s z4{D%%29K3~FpU;>xkflk^Vq}FX1}Z`B(wkxmr$e(@s{Dn)iKVktYJ+=+l8{m)!KFixtg4AZ03JAF19fu>_KP)|H z9sLmie&d6`2Os=B0C?M5-f-jf*S+gq@3`}hm;cxg{>a+e+RDY%AO08rG@U{qfcF2> zBDft%UAyqKfiVCqnH6KGvXt~RRz)h_hq@x2fYeo`XGHXTKuYW@t}3HkqHN&BA_jnv zganb2@2u3d5eXV6sOO+Y3=Ca^fS0z0qp@4x9OMT}+14wSHi^b6YI6{8?b(?o0!4wl z&U{*=Fe86$tCA`p#TbC1^>1f$%_a8DuqGtWkfLpHGFD9RWZA5@bYICP^T42UZS41} z@x&8h@F4{M?5PdseCSnG3RF`foh1KBW+`{3bkz)*7+Hu{64``Ecm3i*jR@8di}=8C z_MObjlB*>WVd7cgnU#&L?G+ywL@1?llx+HV=LI%I8hw|8j>Y!Vx!4Qjy@P?F4A^)P8%q-Ld%TE6$e3zr=|P*oJEKAv@=$?-tW65<<{W zgXxg!Zk@dkRGh#LurSu$|aZFDe@Evy@SNm-x?kk)?IhY+mAOKL>*YP7) z&8`l?FwL^Ct-pbRHRg+1K$r+w7=o^CbUyUzx*ht**Bm1ukIHV~VEv$J8j&EvGHI-} z4*h62+}_$capE)!de<;u(=@`~AS2S%2kvQl(C@)u2qD0v0TH<2TDb8#xce2bvkSlZ z$MZj8Lu3phNN{5|RVy9?@!lJQK6Kn>)ZeXF3z9g;Aw5-Q36ZhS34uPUzHDk@t$;BR zHcgY2wZqZqPyXajpMLteRz)omLU7J0S6ijPtxz(-|5=1*t*xr+hyMQGd+lrQK62zx z)3~!|&Rw{8@#lW-*L(=fkS{U-VJ7vkD^$NQ*XFEU*u}0X^%W5d095F@6co>;xz7T| zQzfq?$xSvqrUV|B%!>VIN^=d5_b-m6;9O0SeVpI}? zbyBhShp^hT4m!kOS>kFzstQc3q;hT5b-BFvlb;p%><54Q4*=kM-}~)f|IW8vcI?=_ zANpMpdE~LjKl+JJ{@c%f!v4Ql0e3$2n+`obX~L2@Usa}Q{6fF-KJ)OT!)yB9$n+xrIPGK&t&6M9O z2qj<>3%ItT!i)+d%nS#!#x4`5w9;xC?)5B)xW;J;!=!PO#??(#S!*q|5c9l&qjWA; zC<;x@mg8I~msHfi;#`0VL8P`837zxt0zym%ASePMgtd*`^^E~wfwmXOW(>b*S<-r} zW2%#j(+%hFE!!gibJ9ojUEbkfnk7d%hy0)!RG{rXr08gJ>-5HFxc1GSu>byz$VXYD)ZLrwSuo6iHMSKtPggN3@Xl?4LW*d9xeAl7?t3^mR(Gl8EtWMM z3&X#EXwMa7t*I*t+)O48$%o)||AxRmT0L}^6RG}$kyuUL6|!e(BmVTETyyQ!iV$n0 zOExhCZ~!owOvdB!0}p&T7sMH=2qCz}mAu~RnmFAx*4p2E|F2zj)m8mo&swWc*3lzJ zZuK|6?zMM6cjDxQ3m1R(=YB1*$h6Hi*_v&y-c@G((Ppe6GD}&XRpzp1j`9nf(#+(0u|3gv$2pF9J_V#v^`ap(EFO~1RT(sEzhioL4*nZI{;=xl>p{0Sj6O{2ehX^Bn6 zh_E_F05}|aJvREX7$ouOaN6H3Q`yr$y#Is0|NamDJ^;S`Ew6v`o8EBSEjQnO>#hII z_kW-L>nws>82BXzpEEdFG%Ggw{u&}*2gHgHmlrA)>D4Csh9)eqdw4~x7h0|rSRKX` z=e#vWx*vLFt%w9hW}Y-YuuK|nh|XW!8jPC3aH3XgNGRMoLUcZREdB>_d5Hy!w_Ta# zQhCpL{6ODytuym;m@6!`YiHC(2AYQa#$1lh?p6O zB1NP{*2~~Lf$n5KXJqK#f8sk|ef?EOUU};^@vtUitVy;jZ7ySkxr;qWMS+V5gp}>C zBdv(AsMV4`b4q&@R*z=r)s;Z>kRA*s=U2B*pWBFiBdww5a}%ofsfK5pIw*#0 zQw23M-+9XwAq3G2h*{}&0MfWXN{>Sbf#bY_vY{}j;Yg}$fdz~~p*YsiY))fHeQb0Z zW(XDLHr9l|#zvs>x^QXGG~}(NL+|}I_x3kzvEXM}&IRwiSROlO zMr7w0h>V+fwC0F?qNbAoKnAJ`tOaX;86JBME^bu6_xXM-kPmp60JzSg`TBRgWodDt zu5i)>OQ8wCp{Xj1WJBQ5XgnAUo;z`JYFQ{>3xTuOM0yy&?G#Zr3xRlMns~3){)k3@ z%%o{1lgXz(^|_fwNNv}qa^1KyB@j_M*8lzoA3U(UTvb&(eG&vv)fE|Y`)#-0ddtnP zd);excXz+^r3XLpiO<}B|AVQX?p(zkE?GjXVK%57TKmzI>P+bv*YOq1DTwD~q+_>m z?6j1HXGLZnm!1_J6S{eE(EFSmv%HJ>wiUDSetS59PmBCY8~PbbdWHWx-(|vqS^(i2 zUi*%ZeeClh5`={%jTWa~6lA=sBUdlJGWt_)eZSq%EsBmA5>WSP+LP?W%il7>1ace3UllxUc1Jw);MD!XhOWKNw za}Bd>?hH4!MuXubf414E!6vIbCQ(^jYZyFDiG{KrqKde27vLzpIO-yk%P4uGQdQ1XMJq;-=Po7Ru8<$Md5_7T$h5OsQ34FS=w zpD8w^M3E)Ylp|5nMPj-NFIXf5e(vnX#r46*AGna;NLz|^4@c+*)J4DN?g>arUxQg# zq1#1toYBlL|KvOFy!Bd*&eo4rY5l4WNm@XGj-@mv8cBrXa@owu6)rmz#!8se<$+kZ z$7IKD&_OmJJoVh_`HNeSD8I`578c=nQ5!<G~Z>8J7K5vOTA1eL}sg?HuM+@vTBSGrVqi!P7^?u9KB&{a)c?~#q zBhCEw(!F@DX{*vF_Iao3A<#*9d7is?UWGg_x3AOEcfTM)46Q>;oW*IO<9*P3N)2r| zM53_gpdvFLLNpB|bY3FSSlAHh!cIhgK|<%b-!o(&>shstTE)Xh%=lOT;W;9xs;bvp zs;kNv)A&aZE{!j)-oG<~hVOLM>jErT%K~B2P!D7{kwv@P51;Fq6AKGaRnV&e5I*yj zgCDwYfhAI#4jcp)3BgasjWi836Yw0*1q0vp?SIDy=bFi2FxcMNUG5_STWcl_i=YVG z$~^MOB2u5wnC40qi2*@0Ck$C5)lECvv$(+VgN14uK1vP0F=GguTa0Azs=V3`fn{#-I>*VKzqt=y*O*Eb>w@ zge{u8_oaiK$mL=wVausV)h(6HSqx0Zj-tFJfI<-#3e$-$1*0xGMMVTl3Z_Idi06ojysLUEg%Vi_hCG7ff)fqVCO;`UzC=3 zw9^tbzoYkl>#bK^e&F_(9aqkGU0D|x1S(5PgF!+q%ai;uKBDd2@%HX`X|Y~j?ANt< z^r=hQ_T*AY(LU!ng9MOq&t&ad(yWV7Kq3EauW)QNYl*8%(e?nODCaUGA|=LAUhTQ4 z%DV78;-IMmC6yRm79(a9B-M-=kI?XK(T`HU@#$0Rs~f{V`{GLX2*ug6yVK|)PNUyl za%cP$+rZ#;iv&(e8~wiFO?tOELq= z(S|OB$`GcA0#(G8^ltq+^@v?r+a3&?)8{v`y`ZAb8pl&`JfiV-E`KS~c%8@mC(dqByyuW5c00DBsxplW;&bmYEf1f%FiO|0JOUXjES;d$w}MK5G}lHirVJnGpe7}j=e`OB%J9cI<6V5dx_Pg=d@fY1GTv#5@a%h^ zn`t*sdpCzTG4Gyk^?#c7B4P-e#woI!@smh37GP%W0QFn6GUmY9=l}pIGX21N#wrE_ znG_C3h}M#Vk3=zwQjdx;nG3z;zxykpJ+Rcf{8)cyXLNDx z%Nv_t9uC2qZ-n~BFkY>ie_IErD!BYO^m@?m!zUg%{DDs|>GCoaD8go})zE431Om$lZfF05Eu1v!U+h;Mx3YYrVc z*fb4uAfmeNCHzN>HEOiXL?8a}JtES$MsH#L;dNbQ#fA4i+mleY;@ZOsEgs+;f{Wp} zN}Lc4Oif23mlfcgJjlKC%%}HVVQQWq(JZqfQ`)9SI(zt0><=o>o?uY88|_1=ooYuj zO=4CEs9W!@JKoTY{WH%#cj(Z;g9i>AIdb^Ok;8xV2OqG8*48)v@Q?oZ-cNl#%K{<| zeQM6t)}H`v+-;%;eGL)fo^3|sh6wA*7&5U^Ayj-4^_l_USUsecD=p}{GLj-BtTkM8 zeR@SzHeyVpi9>+h!KA8;j_=Q1*fhqhuJ2?G@02m}EBzBn#)G4jKJlz#fJb(dEe3x2l0blkbL>Sq_}1#u z`6#~)!3WKbnC${HO@umtX9f)Vk4(Ef2cyH8J*8BLagd~y0gx~!w zw_JDS;agsOIU-cnT5Ck0u5GWjO6X`BUsWUwEHW5Pj1UoRYz^DPt=Ox>ksAz~%!e-f zzNBMHpP*zEs&y$2oDI*Chgy1z>B7OphyWUzj^D$X3%Qzu%R5}e9s zNv00mEuAI5k%aQEN^87yT_tsYWJe?=T%;@)?%gb^ zP0SExRT<60YrKcJ=|W)kK3JofdPIaq%@|witKL8f^@MHF)Prl4r^Pb!IZFh}sf9ZxHT3Ryw-on9yc6WC?9yco& z?+F1W%dpr75g0Y}@X0Iw@Qa56$gpXQm5vq}T5SgqqahM920!pmf9CGH?&|gW{eHgy z@IVj0->b)y@pv@4bZNtTx3Rf78jZ%|$=24+U^rqRKx+sBmt;`TtS4p;g3J-6QZ~5kTBhqBjcuzz31cVx@inmRSh7naT@%tt+&@8mN<5u6P1@2kRcHkCmy_m z2xCc9Mx;xsc(K#{*j%)Dull&n!RfZ0W$mjdtPb?_Px-Rt?C6ohhk`Ljj~xDi?|<(PeE)mb z*VfOTJO4la^ItT^=!dxXu`?Bh{5A6@77!lFA(lW4zD1@ZC zH;CGKkt8*!tYMK}Z3FW{uTnjnhzv(jg*s`%rH$RyOS?&s9;=Zkv@f-6&`kj@JOY)B zIPD-f^NsC4Ce?7usU+w?TL6I44&akeJ=2Vm;X(&gPD-g3!-Qy}9OniEBneH<8mj{K zfT`uB;z8Z1&Uqiab3PWqTZ7g0-3K4P@JP~EscU0-x|DK^birPB@wZv4uL%|5gu6Ti-7Yi0JD^!A{w*( zdltO5qW+FQU5b>8Nl|T!qFo1J$}N&k4lQ(tNHNx-s|3;?nAKOgu2Q&2a_yy26ln$m zi5{F^VJnzRV|~`P1!5S42?YSXS(%L9r!J4ISc{$v4oxJ6)Q$)15SX&F% zSB>-V*t1vMd;juhp6x*#{GbaS5uwmpP)dqy&B8<&_*dWe-(G&~FtbCbjDf|){-Hw$ zopXl|AGvht($ew)*EEyKWH20k(e_Qo$ny2t*_}SB`n}nV46OPu^A? z8wylrT?7$yjyr3MGRr|5>;3=$AOJ~3K~%Yi=c|v~ug_aAt9iOAx@grLb(|Dw*7H0o z%7l5CNz5tJDEE=p%_ae97@_i@_By!AI85e5(c}Q&oNKnXx6htEYpd$AV@Ho2J#ys8 zk%fhYW0xI0cG;0X`ZvExM2|i8z4wuI(V<`sP6K9t7wR7>a9!T8d;l z2G4r6E?d0CNTTJq@^NS4Qi{u|~+Et7NobO4^$33tN+5Z0jD* z)K7%gP(rj3XELZ#gOC7Q7FpleedMVNAO75#3^a1DFpP{g)HMay(q8*d$!82pFBt^%ukZa+_QlMeDaa7qY=!(TNoZBTGO=#Nb0^ z&BTR%kDT`+3@A^W+Btk^$?FFhqu{~$;C!ScBF+hM-fKuX4T%+2|4G0wzbwww^U}Gj zbMX`|79s+H14Y)Bun=Np4H4xK_$XeCAqHs=5&%SlOCrkQ6!Un1@H}O4_wv)}#4KPo z|5?o?OYAFq@A$9nAv>O`9*!RBW8{MYB1(umML%NY|77qvmTLk;6p6*zP*q~$U1bd@ z9|KXRZAvCqcCsdP8WW%T7f+o#yigrkqMeBVz{OFV6o$Zv5(0RS|L22b9>QPz4>v6L z`UDWXciwx}(+Cz93?UB2V-PV&^9~O!`-HXh@cvuxe#83u+V=LwS04K6mB)`CIdbGx zcfN9Y`GAfL4;?<-8;wTek*VzY3l~KM{pOVmA3J7K?wvWQpM z&3TWJoz-q%A|x?Hx;AibP)@0$o0D+f9rwX(P)^~tgfkI2*lj^WVR&5E^?XKCp@!;g zkQqapIYbF&?iRkI(P(z-?V~WB`btFP5Ht_Ld3W;E&c%xt>bgF7@WAr&fn!IHEG;eD zs=Dpgn{K`J<{$dOzxT+akDoey_5&aKH&GWLLWo3W3V{2XYV08LADj3-UlBTfEo^?akF}9cQ9&pcE>D2DHMZ{bbyj3EyY-|;Im8C z;`EXA5Mj{C9AW_RzGXg4lgF_$&?{W<5HXTmw4e`Mq%jvLmpUF$%%5ZBVaH(Mq*!Mb zwXtGh6m;I}gtT$~i;tWQf$#akxv4qYYqGHD=O;e!n6_#|fPw1dtFUc=kao$JG&8xsj49`!Ocw0jv2;D9o~Ps@%;xu8kgm z(ygx(!Bwm!NaIvbgw`766&p*&fCKQ58fg@a3xx7=@;*cgEX6_rqTh!g?xXwLk2olY5Q2B!vu6%R4jl@Cnf-V?KGihOojCc#lTTf7`4z{G z9lQOuTSDMxpF4Tq=fA)qj7AgJG=PT>)ZiM065!x699V+ut^`DQ>Lh&h3$W0G(PSTe zsK#oDx+M@1k$CS#qNH-~?~MH-M=)W!Ccg8|J4VA1VFW~u967wRySuo!prG$?I686i zl=>o1CX+8c@a6mOf3U7v_77zlRhd^h3#{V4@bD~0LYRGK49_?o z&bNOq-cs@%4DpR*?AWnG2M-=Pd}wiT@ntW2 z>8-ci{O)gj2eUl<$YcNTAO8!8Ud~v~jlO0z@r}#R>V*mbRABkD8l|;H`2%%rnT3q0hyak8 ztw9!`G(^(jb+nF-ropWNv=lDIL|9kVr1A3{XCT_pDzh>Iw2)`_nN#0}MJHM+6xln=qNF%8@x@!~B1X^MP7dWVXf%kzhs&+QEM~^+T zde0Zm#SFk?A+#6D+rjT=41n|B_o;@be;UBM-+28uyx}Ex+;pV^McU0m;V@WNM%S|% z@h0oD)=h<($xI35VqT-N8d8i72*<)P-qQ#O(N{4WQ3=3sIC=WS>elW^TPXyTxK5J! zUB?+CrlMpeA0`1i##~wAL`f+FE-0IaXd*XZ<9uBijnZu?E)cy+6!(hWGTEcs^=%>54jQ zIx*J*nORua7!-gIq^?ZkygmntE^Gn21MANhzML9vWP5Hm2)oFxr#%x2r~OL2kYBv z>|I$FE9U8(55XVd?LH630j@cIrE5F@BZ7pn^C5WN-rhZR`qaY@e|2|v5Ey;%%p9B} z@HZ@fP#F4s7>>X@Xc}OS|9k68;QFig%mI1zYhI~0n)6PCz4r*HiSEuh=Un5Oz+v_q ztpQ`u7%-%&gXlp~%$?#I^gc9`Ni&&{F~i}Y*XysZUpjE$02$ie+MYDcWIX=$|K$Tx z2*hm&!3U?l&43zumsL0If=Ow4icA=yfAUZM`F(~}i6@@=xu5@+&bgA0l*fJX z#1@uxam)h1({!nM3Fmq!k}+k>;a(J=S>t;4#ma!n>lu;B&u60@?h@6%%m5Wh`_HjDj zOghlCv`k`zjyH3W$}mL*o|$XpwGd&*6eyM{rzL&rb6E>uSo;CK~{>ByL1yd@5kclC267Zkt~K zM&FVg>l|q-Y1ly2{t8SkU?~O#3TZ;DqI}T0KPsn~RlEh9_^({_GnpAo7>{Z|xbMMJ zAo8bQI2SQIHIbGGkX^J^(i&ZYf7aKz>F~`&#s+5zd?vvk{V+Yt3l(h zQ6II6^f`{dbjw6xQs(L@Y^KsWeH=vOeaLhwNc|3X5ue-o6Lq|?G+910=dO= zF5NxCq-IO%c0x#~0d3Y07yy8}vH+mBJQw!EsY8x#GAsfdocGQ-?}J*Sc<(rHR6z8k zs;nO5maJ|NYmN3`h`2o%A;jS5c$sj_hSo{vff_|%xx%fHOvJ?2D^)t`2wBb<0*6*k zl|JF4vfGEvfdgqaEN5$$poG~0}nj4=O<;NK_hSojzeW_<0e+DI^0br6A?ater4*r>I!T{l|>ET z@IjD4l|NIaY2&65h~T}AigVT~jfgI;u6gJF)vy0%m#N$`lJ)3w-uWbNf+B81Yg}VY zRaL+7uYcvbYp*3^s>&Wbc<`#Lu6*5V?;efE4?XnoCqD6+`#yVrqA#{7R|*+TE!A0m z!dy8)%Q#V^w-|8R+2*sHg#Ep1GMPA2inS8RvOJr@V+#T+Lf4|IJZ;oUqV&a^@|~FT z!e)41EpVB^0YnjL8dukf_XdqN*0vo0P>uEkLTJ`6t!->vvesH#A3b{X=+Pqw4<6|E z`?uYC(`~oi{9pgT_pGk2z5fHh{rS&7@HNAU4^^j{CRn4XR45`Lk$Vu33@-HR%9@?s z@tKv4apS!UF~GeGWR+H~adl%f11=Km3LXrU6QTB>v8-zgTLI~aSD?7RTWiDe11?Jg zj2JrvmYg;ut@>^HgyjetaV+-}26m!rF-zOh}zzWN4ZjT;&`uypYOJ92A%oM1R ztPP{V!gz3LVfomUSHrCHUxIvE9nxFwyz;HDx$%}4U!mo{h7Raj*ElX^QHKzg7JIsP zmFrej*`Z%&ei&L_L`xN*R9LXIDTB^)zqZVPD3it`;@JzEM6|Xs?Dwj!FKV}{n@tQV z8EL3Ou5tt$-*N~HAebgWML0*XHl#3WMF`R=nxh9rkkS=9mDCslv!EditYOrV!kwLg zo;ta(2nNw>U+rypR zv5w?p9%HHmTZ0HfLnIOukHq+aLhD#g2&{%7Ea@ar%V7;OR2&*3#!n%IsF!0OW#`wG zVQ2?61;(Q?J2lh;bzf1gh+d5+&utk)m9=$c)l1v?5P~$$D?Kb#jV-`4GYl=A2U;S> ztW#Jyc*G8aEIGE$GvA$>WF}`oQQD>tUK;T}cpn<$C_S_s;9`^h5n2F343Qq@A`%#& z8-Me>z%a~9_rSkY5xn1pKjTF=*RrELC(B#;>+ilQHGvpC5HV3@I3%MLO|sOu69_@= zDT6Tk;K$>M%88625C|b)?4Yt|KQN0J^*1UUgaSWvejNaICUIY^jXNwm{o2d=AR-$R zSg2xwg|Ul+c9;oq|284C*o^4g>k;;L62{ju*G-u&W$4=@VfHuoikX8iUa%P=q1Q`J^~CzwYZ^t4O)?o|zqy zA&Ql5Y6w+oHaqce>raf31<$LAiRc|~fAjm^_rtwjZLBp$9eBVRLcoQ^#W%g_jjwy% zYnrBc?zxjID=R<$3;$BplQBF#xm(UPT-&og);t8FWHtbVfN0QU^)@GqH#))KL4&!li=Ir3q>66P#O9z$@EG{iwdHnc84?j8@4gRxld;5R+x8Li3!YLdpn>i&$@l?(Vq+GQEA>89YFQr!)RdOH&#?xADW41eBN}^H8dZUablm}}e z_u1GUJoe1W>2sI9_{iz{jpz2f+r?z-X5Td&r# zzN&1mSB1cJWu5a?Wvwxd^L1^R)lVKxoNi~QUdRqvlr+>@Plu4H3#rZ%Q1i0U#PupG z0M?QgL+cy6QUqA5uLVx~q~H`mMv7`C-wCll&Z?I(I$J>DS3-#IC=pRe(B#G@epqGK z8{NC~ThxC|XY^B4->B_Ne-xSi{6l9#;E&#aZpvh*MgFvGB&5_e`Q*RWrG8I&pv<8W zQ`dJQ|9SW8ulY(d z50n0z2-$~vT{>nqVg^dnSU7=>5}nQ%>LWxVlt2sCQ2Dhi_u*y**wnH?ZAyDz0EI1pJIiwN|L)yD*8x~16pB!+d} zt*d|lunyP!oi~ks?C)(91zBs$apG`0FPQon5cKaHa%+-U26wmUFJDYp1Q_)k2>{@ZJ8n~mXiE3c z)i~gK6v}jE>p+?E)Qy2K2Ruc@_r3Q!fAXLH6J5`}_u808B=14{Pa14lmE{}Xa8F%N z%Cd^A37=|!Tv)kJ;^Q2$Fy9(<76AZOgEK*V{4yE4LjFbwmnn6AOd^|U9xJ{h6vyd? zWNw-!&(?;0DTn*Q!l#>_(}!nf=F<3zq;-PCgHda(1qAOJYp7`&RWb>KLJWaGgq-t{ z{o+;VL?n~RplQaJH{hk0U%C0_YXKG!L4;oy7F&@1){TGZ+-9$`$B(X*h0WuKPrtH0 zsU2isG=#aKaB6WS*Hc7B7_}>bq&h3f8U!=CLoTwMAwC2cLDxB+c2Z4IRApXu6Dx@X zdFiq_@+n9J9VOFUcc6?#Fh|j9Y)!%T-st&nUHYTHd@8jsbT^6pFqr4z$9s#@5l(BW z$#Ap3bTp;_M&L035&cg;`u2NnJDz%{y{hz{7Z)n$xnC7c>uh0*(uSr5Kv`O?Wd(r3 zc>)n3q$B_|&THg}_Z)I`4T^v@0Ul?FCUvV8DD9fE0{d_G}r=8=;D;-RBVgzV@D%tDf;X$Q8+Q(gwm6vc5{{plZk%gxsv ze)C-?^}rOaOh=0&%$&%W<@+K5aFCkJ%&NMvjGj7TS=>=RbY#I(?N3%HG{V}(-e}yM zy|@*W4;&OG9iOoa2Zj8op<@RKwnY@sOziL>&PT1JmPYBu>Js2Zvo=74LE6Tr&TC@w z$77!O@OX>z4-tR>IhGZif;mK-_srlK3u}!*=R)uI>)+a(wr7QMs%^c(Oks)+EC+Ck zlXgs4W*_|dohIwMOe-Z|c19Er0}}Be7@=Fr-~(nxAR!SS`%4ixLqsU(^V1qajN?L$ z+|f<}rmVkL;RzqmGoBv8zDk+}cr^Poqiv&dQB7_|}Jgq0Sv7b7<`scrP%|HL1=7Vp)0Q%YrDl5CPT%9=H zjK|}(%bz=Y0sI7z?x4l@85kWdUh2a`{T{3w1w&Bv;K8Ste&;JIK|Dlc3j_eRaYfVU zuCgR!d=W;GWa#t%_wQ9zF>jFK-M-!+J8Qn`SZ|df!@Ok?7*3^_k?cq5w7Dd&eG8 zfTIpdS6+ea$yr%lkxr%$UA_dOFi+~HZQa)P_5ns4h%OIdm4?a`NLZN3+8_V%A9mg! zTIf$EwJJ#z!xGm;M56I{{LC}YuB~03OeU$Gw#L|^NO2@0-E%j;_|Jzb$0MkSpk{z@ zfJt>P5)w)_TQy*w9Wfmavj5y>zcO9FSBg`a)ojg6hp&>dezl`=_zMk9(Z68i* zfA+#wRoUgm-pW#MV{5p*JDT#hN-`-=g*ok;q8ucr$@*Nck<4^ zXcw{&3M`y`lO%PT(`kvL-ZQmwd3L$(pss5(x-}5t8O!8aJ(>OzjhK#iUFsYkKWP+gw_Paej2K^n9lAJJ-~ls|LZ$-~H7rJ{Zo~$6Xah;D2+G3wrix}Y-c6tT zzxDFQ*^66hvm>OUAO!Fcya>}^#MIR!Lho3*7Z9EWV<@uT*boVG8c=YMWeSIygu%gNI-?W3AE;iRj1wyEnY$o|DXc>GIAm z{rj%~22&VEVQfsr1R@qPM2xdzC``+~_g)y2RiNui{q0&TuM0MRz94@MStnESxopV0 zvRE<;5wHXvs8%l48U(B=BP=aD=iGQS65;WrRzFta6-J#qT5I)o(zt}GEHrA%8WNW1 z&FJP;oojX;tdq?!qv{KNK&^EPICPR|rK}K5UuQ(T?GO^-pFGs5;0MaGG{#)HvZbdSa%7D0%vDu_cn7$#vHA4VUsoLOE3ceo_9?Xk z0&~4klemx4+gw{eZwpC0I0*F2FuhU^mBa)tJpk)F!oFM0@{H4&2JJx>e3{RJn*;g5 z0d|GgQWMW}V=zs_jd&msCL~4#l(zM@ z077p~jRODxAOJ~3K~zB`=0IX*_SS|21tP08DeMui7oS+ebyFEvH-1tNE^qE7p?|9A zGG+E0t7on$8s>UAJ$|O2qMAmQ97zE=U1mQ|z*7Clc6N?1lz$vuEeoT9TMjqMGvg@n2_J#i=U9 z7?W0TLi9m86%Hqg45(-LE}+{llLw|N|=*gD@c5gu4oWsvLwG>%_9f8|Rr zzI5M-Yi>QdsGz7IYYq51jEgWybdC}kicMl7O8l0Xd`4kLN3=JpF9FsqmWf>3 zM?$O$!;%>Hyj?>YpNoi#IiLt|Y=M@0I2B_KARx~9$G&O4cKTYPWA|LwzW2V-y|-Se zs`Ahw-|si8t9;@Zcn4p6{JQ`2;EJwe{RMEIEqjbnmwDNwRfuL9JMVws-5>b=kACFM zZ@RlEY+2IMQoq;ludJ-Btga092E);4I2?_J!@*!sl-26$%Eso_Xy@!LCjb%7U546$ z<1n8>ee8g}0PC?+W{DPh_9zM~EG8IWQKK%~)&W4QwCwAu z{?_~N+uq(842G+#D@vzn+qT#18DmDnVOdqo^28HQArX7uw(a`HrpA*Qqe_(`hRBL{ zKJ5+xa53vQ$hHqi^R6x}HFdS6YZ7<&_Y{(%+wX8*&bzN*=^*@Yj<@3da_nA<)o&9YJ=EKz6rWu$LbrJxxzJ>W9(3yf5yOs)tEt#g6XkC>XK>=A{ zD`X1Md9ijXe^NIWGVSUVwPZerFpcE1k~Xecal#Jp&=Y6=%jcf%>Of2~T)axj3sYHa zxmFdkjRpkd!{2%5cfIp27PaZ%TqRshPw(ggLeH1Pn1m%a)zH>X#Zq5Zwra%r_F&M5%BL;S-s~AxE4;yj!9NKxZZ_Qd)Gb z^#D!Vs+vNWz2laAW1VfBF-Ak|*sI7ma~K-*fXv-hcO7@4dkmMPV%3}?ftEeO9d&c{8k>{j``xnHs7CU*> zLb^*PBeK@A=K#$$1_8#cJF~X+=*t(?@n4lyj4&f2F~$G_F|s5MIwpvrX1i(xQm`kc zixGLo&a*l-w+5sA3i@vKx^*>~1gI!VHG(PSO_;Ckb+_5r5dgHlUG2rRHOPV};~~?t z-#jZa!iz7SJ@>M;c*pIx-*NlxCr_R%irzxMUl!%^^73dr-rL(9?8TWb%PALxCq zH8E%;RxX*)JQ1|^@S#J!UXO?dgJIjcsw(x5J@lA0 zRtw^yu*MiYq(jz4RVjioMw?lY2N&s+q`|B@|5g_Xy{5KBq#xyB~`)p?wN2p!lQt z;#Q#kr1u8>y3alP_#c1%PdY2$?8}k7>)q8RI;T!axcT~zfB^sVKl|vl*DN1i=@X%n z(aO>SKw(MSbwpH^7_&>zTF9e@J&QHOB+dutoGML?LFYL{E-ONT1ps=bts5r-nl-Gx ztJXCa*LFseW~foy$|wz#yl$0+T(X850Z5VOB{}vXv^FIaNp;g+Slg*ed*tw9RT|GC zK^y`{4==Wk*EaTGhGiv!NHL8ryk}i9Fd-6ZlvI-3NCZ4k#yn4*LKfNHoxJe!mA`oG zQj$Z=*p0)gnCMe7#U78TfjlkhLJ`z|Ky4CGNQ*!N0;rwam*scc{Bc;4L#7wmNLL5mH5#F+}L)3UHHzPx_!;#Lmd z==4mGPtKEPvdeeW*BQdq5!6>HdF*o#CQ*9rYN|6an*#}3$7(%J-z5l+=9-LG-Sf< z3K>^4wKKXO1NY}V_zqQ)pYPI!i0aVPiu%^;F5DD?a@3^j4Rk{+bZ4W>7YybBM33wl*vIk&$#myBRkP>?rLD}4;zC;f2p+4wl1oEfub5~(7^gQWC`W(1~V@kJE@z` ze*@+D1;yCvjHR%JwWeuX$Gkfk&*p$guvta`g7@Bo_e%@?rm4Me3GvCNzW)3-Ug-B0 zPF{E2``+^&KyuDaCX>gXc>3$lKIhs7{LneE07O6rL|}OdmY3isz8|)B;mPOWnKMuo z5{t&!U$>mP8MV)wsU4j9Y8hPXnzre(ap!wgA$NINLmZcPMEtQI{fFc6csLv$IdWuq zdC5697!2#W-rn9>U0o)kOPAIFplO=6ZR@&z{E4T$=b|8MRK}Q~!d(LeGH#LA#ZXfe z^qXcUcPj!T(&opVKnud&eOS1`Md8ybl*Q>W}JnH zC5HI3d*`2h;re&pzuW7VOUwP^$5uw8$G%j>`C?Hfg0x$u#l-Qi@? z7KH#SOF>m$cIdX^19W;l8bc_XSKYY5h|^eSrI%HQzo6P6T1jf zQ7Gn&9T?`3IS19A(iNey-^WNA)n(A)u6vojNmMub;#Q&lq`DXO%TO4Phx=vWb$yM_ z%QyW4%uF5r4D@i6o{p2Cbpid12`-l)_S2!=mD-(8JRyxodq{7!k165Q6h; zO^6Rg6LQ6r9i|^6B4uF*qq-_BGds^s>(wE5acx&XHm(daw?3NiFiYmp!cHU}FG+Z> zgA_PriFU)f=|NzSkB{r-+@*$DmKUp|hnEZ*FJg!aOUI5Zt!?aO$qQ2v(87QePy@=( z7g1xJR#ajPsy#VoHXsIou{`q3h0i>6ek$x5b3tm%2Ak7Gq`O7Mo*>Dt%radQ0}zk^ z1EIul7M0hNHKeoIpZTHtZ#;SEzPqmr^eb(d0yxLUs9`SLE-9LuvbdF8^()yBCcHC= zQIHd|bI!vI0)oYRZ>$}T>ho*cYwLS;>l7X`>rIVwY!-;FJ`n-$*%(rsGYf|WAu4cS zHf%3ML&u>|sP(nMZwRA(Gn7){u4bVry?S^*obQsQ6(H@L5QUR)^w|I*K~AkzR{%oF zNJoIyxu$Laq;4DlKlu3BOPj;zUfI=Sk&1MU!E7H5pbXE_w!RHvIx||}nQcL`*iGC| zbtltW}$g*NY4G{|%Lxyx@j|eF!JJ45_7s|rGa0H0pN;94` z{k|#7l9}J~hTi}8@f*DNPd$I`k*Cgn=D}Awc}cuDY77W1gKnwJIXe5y#bm$VNLr6S^2_6$L=&xhxCsr3CzgXU<21-K^M9f0*3~tn`#9!c|!S`=9?OFyx-&;Nc0K%S$UfvN*{*G)%iz*`+jK>RQg&AQKxcw&h!_QyM=H#3+##Fz4l`t+7M^gwC-o$q#En zB+t;cP8eF}zWC*b|KxLDcztT(UVCQhbeO~pb8~InZ-|zw$0g!SlWCcm6}6pq;5@E* zwvddFiL0-JiBBZCIg$lqk%2JPP(sAEycYq+(?u}tcN=9Nkjm`+NF9I~;vGcb!|%HD zeQ&$%*wK|EhZX@u2@j}_aJ|Cccy~?(ZlSPRLg@Izu zPiV^#8iV6WYemY!Ztsq((kg=f!rJcU_8=r&bY`05R}77fFd2;VLBKgfqa@c?XCGC- zGZ0Scqr3gAdt~7ts$bzwjYM zdgGlZAf-1Z{X-l}7uIy=J7%LspV--rNk37oj+$c}Mge*R2$sxgfo6Jvx?k&5PW!=U z&Tj7Pjkb2iYE2W7!Wzu@P9m9RH|uV4w znHU)paS)Wng#;WpcI>Ip1VRXEp!j^o>Mj5!AjWMHf&=!R+s38#Zri#SUtYhwIsDw$ zE~zAD`gtcUJ)_`TjX&BVhSqu4#*$bLoZ81-66UE%G9?9+v_%2H-+kAODvdD47&3Yu z*5QNR>tS9G;5}0|x?y1s!zEl^>N)4z))lsoh?B-S=a~x;XHq21paCnE{JFlB<4Tn;mf$+TJ3zM^#g_B&Bfz^q`(}}b8lIezx99p#);#{5V3BWANc-{ zojZ4aZSC?e|H^MR%po!=nPUxX1f0rBfT^rzI9PoA zYAUB(yTY0!WDu*W(w8zA41fC%djIjEQ&m;{=m#eE-?ek>$ZlCx{eHEwvUK>+@(tIu zt@ArO!&lC2@9ey^w*Jy&{Ftro+T9(NM?N+f4$Be=&0^(86W{MGy4Jt&!kJI}&Tq9A zXyNedQxlU+a(ZqGTSCBTk#K@RcAL|_6ASG0#3GrGs-rU`Sw_?X;{pC<$-U*%DipZU(juT;FjUlww zc;;Sd>!vM=0tB!^=QLswjWHq#(-t8M==++bf-Cua?ng5OO&1QFgI=BjHtYOD`om^%oO$<52PZa zasBf7h6q=d`p1qg1K`m^i+iJbFs${9c|TR7cot2~)6h&y&^S#&<42#l@ac!nOD6)J zmpaLQy2shVc%DEW1vVU%yEz~$JNOe(QIx;%Bj0iA`fKjH`?@gVqCBAyQ(>evy;jCS z15JdnW{usM5UZ=L@nA-VBxk^QpTmriIn-zvn&=8$KK;sN5jnrMlVx^57jy?5BypNe z0WdZ4>hx`Tvqf}_emp@AfP<;{6z>H<^ga*8wnl_Vuz03!hvw_1Bn4(Bg9-Yp(eXi2 zmlZrBf##;KHU%6ep^I~_t{d-t+qU0)W#iJu;4dG$s6Cj2ri#XpF(x9*NW*KI#t|_K z#Hfx4q1kUANGI@VSozE}pR5HDgNVQSb02)`JvWdc5hxUfOcdf&NYit8etrcB;Q~Qq zpFntp4dx?b%psz^VePzYTTet~S+uQlt$W*>PJQxMZt~te_5Ar?`NUVf^IWhBScs4W z^*Ajc`}t}-|XIW%~n%i(UeUP*xCj}C<^%g5Ae&E*1x{KtljIC3YIHAz1@XR<$b=^yTX_$ zGG^3gg~B%-i8>V;ulV5-45Td$)BX1?z4NX0?WZO?yD*$QumRsKJ$>|m*)5a^;A@uA zgk8&sXrLiml(2iK__Q&g;~i_&jsk0&@YzSpue@A>XE@wK)4~M6&AzAadH>scRZ&`D zU;uG#gQPP#9j>CWWDLz4?8*YHQBpZX;Y17?&1OBf-g;^>nGk|4N(8hv+$1WK80_us z4Tj_K_|Zqcny(%~L=rse+ zbDi&=I2SagFI!{tbUSF9#v5a;sf}+&W){I_~GJ7^xj3Q|b13 z=ThxX-rgc+QRNVwn0;#chp!6unS=i%%UoDbf8y`I>uqni>BylaT?uu2E(*ge>faC% zYfS6B-UllG4D_y`stM2uLS$yoqHT0zw8{yVpjg*}02J0Vjq`xsGZ{2Q%(AmLe);^B znHo1Kkm4VKFl!H|y*pD7LabUSFe`G300w;cgmC)8*5%DSKrZq_Smpz7XMlIJ?_~U?-FnWT zoB6?hs*=td$!cgL^QnLP4-Ty?8EaBxMh9Aog6LqH(I#i8W;lhr8ZxN|fHBS{OHas} zH4p==Q+}ID8bPcv`hLB1ZsXfCt{4*Akll)xMhALOQ6w`anMz0m^c-4ffUwvv zn9Zbat=$Z*b+xl`nkpD>Jv8^S*7J-bz|lrh9y*=b|czKlsm|(CB78e(R#ABeJ3_ zZDAJ{`tN`Ld*A-{`-j8fGtWHx@n8KvlPV(v(cr7rsRtidJ0Kf|fugRdJ{#=rkEDRB zbHV2ZMEZkkHLMFpO3u?yXeuzyg}uNzYUtBX&;w7~fA_#m{j&Ix4>a$1oLss|C2ttLMB^mGV595=nHaGtBuwvGky%Jy(PY1gj|()*BjE{W1Gm0q4I+G*2d z2KoqH_Sp0d!vV=lUYXt%GqD5iXRJwzbLL#|5UM-<%fZl%Ck-O5E-xHj>2L0g^fe@f zK-;>BX8{)G-~E&4AOaIoREtde_~%*Z^AEWkpqiL(A!CNX*3E@tlZnW@|Gwh@IBuMA zQdp|0Vum=9aew23qg9SIxx77v+Ka?1kEr6^h-wM4(U{3BaqNzRQGMz1?%9i5dJ@a} zJCe|%!S({7D(&TnoFzW&X%Pd|88n-ktm z?UXZcXqaZ{r*c>${hZ@K?xHkF$1bdcr+UEiDHSpOB;2hZdDqSV_`kpZ#I-9JQMe%} z9@3OX@{I-4xm5PS+N5cQwitwkQlv}de`{08xfTGdrK+?@_>FI^UEbW=8;n_`X&Uyh zH*AZ-l!XcL??i~`z0|FPHl*wdN7Cr4ZNmrgCGd6WAMYn*+!qeD?;OCC!s10}7I&O^ zbv@+_9fqevKAL0WRHwEZiHDZ; z`WVZAj0nbBT{H->F{Z6bXc}-H5TPt=QM~6p?{cmk?CtLD?G1;+NnLwx4z2W!v3r9N zvum3A+2@|0t%)sI1Be{ytDqiZ$rfb&b?2S88*4QZ$QT16)*3C;q-~kGs>;R1g?;ZX zX4aUFu*4A}V(PX88!Ldd_TT;HuM!y+2I01Cy=RRz&PKRsZDDP3`t(cBKKtBn|A+ra z0V(+=7Zl0+k@9B5LL#{rc?xxtdxUkR)jR|rF*WdN+3E)?$5Prn7Db`2MBy7W{erW0 zQ+I4|o?3KG+m49-&7axgfu+K(e%D>{UH6agzU87ZrYy=MM^^g%;`p)2WYP|YqpdB! zzIjHY5E0?b1@pUKzP&tJygu5Ay14b;6Xzy%ySA~{l|7i*F1nfu=Uo@LpK5Hnv@{C+H z-{_h{L>dmNx2PuBdKT|JB54JzJzxOzp1Etv2IVCYX#{cIIK@Xe&qZNJ)&xpv*;!k*y(u z%nSiksjv|=CbB*NHm>XqM)fP_uRuge%!HA5l*9}n&Pfvzl+Xyi8;fNTqum%GL3Pse zo9=*wVfWKwCv7B$csT(@`K2H_4M-vW1RKR%#^g$fjQOHENOLdmHLn0xN zDnAgB=+}SYL-*Z%y*5j9*U`SX)lP7z7sCV%4eAAqMTsCHdld^wa=~CEH$|}?fTBwv zB|nR>xOgXf?@zz7aqiN#%7vTO7m1UI^4CwT>rHD7DRK@Gi6G?bYFM<)_{kR(_*BuNDl(Vso}LRnUcR2YKTL6%BcDq*h%0oa&CL$?5;Y)?iYFl?IDUSGtI zs!FlM3q}A81EQ&Vg~AOzB6{xxWVe>h8uxcuI*Ip+QwuyEpkDxb~iitPKH6gwT;mk&uW)bVTA2sgG4v{ik34)wjL%EoEuPqw(HguWg#G zt*xEi-N9h5shiQbUR+vS-&kMh_hxP{LQoB$NbD0!IA;m~=V|2#tE;PII!>^$)&`i4 z5fSe^GoL?yaZapEU28$QRU}mrsV3IL#^Taq)3%09RaM?O2VRjo`lADYwZ$WkJhrj1 z>73iy-PQY2(aYAFqOdCGXxldB=^ixXPp?GJgBbN@nu#6SQjy0ZIv$G1&a0Qa2f6Fg z4ywe%yfHk}r3`^X#CX0)%Y2b^GpZbHZE+ax!5fQy^EVjZLYfS%!vToeY-grD|4=i|bS{~6M z2V!Ct<`e?xp7!`(nOp4=+iT|jZi{oMlo`HzmxbUr#n&omW&WPps zohK2ox7=%5Ult|+Sy>~}( zCq!V_-kS_Z^`veG5%b>F!Gwx9n7#GK0dK5#pFggoTaKIdd*p z@ABG%^O~c?#k;0yn^v9g{N=B`-1UP))YHrW2~?CaH9Nw6#z+{s1lUnlRDBo(-<+kQ zD$_SLHefoF#TfHzKlj1=?zvv&$i^DwLMT5musXCC%VG^lW-nzgiL7i4>AWbUokKWg zX$bkuN`{%6mA$C7@6!6tD;KT|hqc0Ij3I!KupP17VlZ;qbb4!y#9O0aah^4%YhTIP zYw0q`YY-!TJ3V7LIPe+f-ePX5X0PZiH)wt8%7;D;0s%4t0=m{oh!P_JF+?6&pH?ym z!uj_#CK>g@e^f*Vh%8bdAyVtSEy{q|63&B-B1#xmdIBOV74OvuAeYCGWNiYlGZ_Qo zUQ-|HFN~U|H3k3yEdcB`(0W&UpB%@!z=n7 zi_)`qI{50&gPFxBG&T@`@Rxt`XW##x?_6A5TwPtg_Sz%H*y6Yuj>dz*;M!}i9SjCr zTUUmo(P%W@-5XxKv?c-%edViK3MU6JGiU-2qIhBN!E?QP+(K^m^4~Jg%FzEQ`Vxt#htzi=rs2^1%lm z9t?)e+%(O``bO)Vp1zGT(v4vbXl+Dn+cxD$&uA(FTf1BOzz1sxi;xH@7bu98QPJ`& zuSR;=S2&S3l>%NVuZ9mW0wQ9)gyYe$W#2Cf0a&Vf!cf?Pqqom{4~zidz_reiq0c;J zKKqP8I3#cgMBaUCBO*5*9e?t{CC}b_E{d*DdfjT`^LwX`FPs*U#eTWaE1mbFakI9u zm$d;hLTa|V$h7D=YvQ4H6^Gi&QtQ0qcT#;Hl`9AZ`2Nz+z^ z8I2njsT;SoGa8MX(WFg;ORd8&Q*}lK&_0dc?oZKYD3ImEVYs%^IjN({v(t#jMCuL& z0#j;$cmu?qq3HAnO*-#{V|e=CbU~cQ7UXtj5fS#`OfPEl7ZH)uXRlnoGW@H@*Q_zU zUSX{<2uL7PZVAa8GW$ZBGB<6On=lhim&%%-`$zZRbK5aJnwLdEM2+*kvglQXgsK9_ znDr~eJ8xZXTMqz5LC&!?6s?M~GniOIMPW*7gC|c*9}2LAF1rj9{Y|yD;o6-!yScS9 z9E}?ZN;NTta1M1d<#7!yXjNRu3^!b|prUlya{Y7n{vx6z7+eU7gXEFzLOX*DI=1LB z4*fM!L=eGqm!P5a3>Fd3NN9~2PnxnYDFsm&#DH246G@uAZ`!tP9U*+_YcGA~p>xSX zr?v7t5Pp8DzF)95%!WHn`=qoi4&3Uj0gy(Jc`o8I&XHwz+H1$863Q&Rlxw+@}73&UtOm>w(01 z-!~;QBbWeb7Pgj(!We51kqiaoL(FA-wfO4SM3zW4uWze3o&ieC`2(&#@FgNL7TDIi zB>>o7YpRBfQ&3U1f+pe&>WPI}OcsSL@%rSmU;r?Ljs+DW6pmNWI<86uX<@RFbn-*& z;6793P+$gS~cxz}IqF91m6+F?BsKtmG%>=or=Z$aUJio6P9ZO;HL2lOfY<=0UVgq1og zxuMXpfBkCW(e>v?*I(B^f8*-Q+NW9QSJ$5~7uL3Cs$9G(3j{jOs9+Y>3dxWwS@g#N zMew&-{_dwdgWFf0TPZI)#{g25#(Nf_vNYaHzp^_1R(uSzDDGEBy#k7q0!;_?j;$r7 zq*2`RgNvWK1>6uRysB|dZ)=4E)UCU8d3QK&M&nwh2e=_0L878JD7QnhNC$n#uJ1d8 z#FOkamdT8#0X@?U=Kw&XDLha2-c2|4C3-W|-;+IFF;Ps!XJqxM&8tgM?&MA0hZu#e z-SLYrU-|4K7do;>JcB!D*fSK#@XN{ph|Y%2$lQ8ZN0J81=5`?rb_7Uu*)Jw5CR|tLRv=vVh{i@gjTU+vrCl|gqA1^GdGP! z$}zM5%HyxRa%t!5r?-972d6D`rU;%hd*J-r-6`*3iXGf>v?5IzSUj*eArizc0y&h9 zfFF7HjURgF?eBQ=O-gMm3Y+@PDKsRnzcHhH1gJMXYJPZmZr45qW0sy|5<|Yp7QhWofN;jFEhLtbEF_mU*|_ zf!c=s?02t&b|U#Y=bFTFfJbEJAe1vGU0t|I0U);LY}KIg3?YjGDTuut2dhR$H6(_7|h>T=1<;80DgCl+YI2JQok-t^RAF@<*~e5KL-Nr6;u zg7pK>_098E z*d2G=e$$ONGovmML^P@EPkrXI^`vF*tNL@bgXKk7T7-Z0gFpmNJPTiV9FSmnfg8up z8K+U_bH7fUIA)9iL~AVoT5CP)@G&aU(aqO88eE#z5Z%grtchp%$KU4JAvy9+~6fnE8Vt$QrejM4GtFuR_uO&AiIrP#yhhJF zL{wOl_D=m?#*lMd7Dm&{j0(m9n${J7hA41F5UO4|nlwaci1ZmLtkJR<8JpH^?+LRn ztX*6vwe6~3e|I=u-yB?A-|c#<&;=RiagWSmOwu2Rx08kAYlht5C4!L&7f16LQboc8 zNT_IyG$_#T9&e5Cl!{l03wid2On8x9_DwL*rzpM9UKN!LX-8Pf!Z73zhEU<_^Ws^o z5$BwCO#q;E?#qwAEX;rL*d;Af5(9sV^+RN)i>>LdaCR%rrMILGN)lA29x-4ZMJGDz z)(ko2w0->NKKRDlPpAYi%`q{H%cgFNfe4(2q~{B><9MFbW45Hz3#OjA1WmT;D>CJ? zXOBpO;pEc#?#t&lwE?X0CEj~u5IMOsK>&uMdZFJ7O>60d$lm+YuWW*dHB^<>+JcCf z!8vfwx6V6F6_x`n$y1r?2TTX|&5!-|g~fj({&8-mn2AYslTaL^^~K`ed+)qSkNRz* zWv6?F$V6nhN+$Grn#ka^+MQ40<*gznSB)_@`aO*6K} zSp%N^O{)cB*}7)XP!iv-jrY-Z&zwdOVA#}$7Z$VGTAKUeY6Z&`0UE@Tz4z=|*FZ>R z6GYsrabujqUuEWf@U~ zP_DwhzwWsGHX>s0o2D(xLaTE<*&={2E6{NMj7HeOX0!#(!PzpE2}MM2zyB@Xd#!iJ z;|UpR+GaATues*X*7nZo%F6o2hI8KgdN>+=?Q2gw`sfpe$QFgQpxg!kaPiEb^PhZe z)6G<+6xQ0ZD3Hi|FGSq70jR8ohHwHgS{4I{>HuHGw3-B0k=dqVj&RyxzIrt**||{{ z$1PhM)C~<-pl_-6EixJe5j?tlsP*2lZ(TE*j0$TPdyA8{CN$yNhF+oQ@})C9$6jIo z`ubnznmF~00pMGEaNFujhpTfpU3bmyV0?LVZ{Ml1&}o_Emm5?MnShS*|}6k@Np8X=+)&w9^D;G{-KiGVmSh^QD!AH8B6OBNqD zavN0Y(zae(2p2E!j3({+=3q+a5L4m+r&sNaIIBt&>5|2Q`(9b``KM=~wCF65=|n*3 zB;I+%NR~Sn0hY=FBgnUnxQC<*9XiJmgEw^y;Uu<`(D2jHBN3_VCOvW9^TSV_)n+hc z@p3cc$Z3ocY9evdI&>I1#5!K*sk$fQsfG1OzsmdHa^eH;ICbys$BEE8)+0?}O%q8v zG2mKaA0nt@bQGWlVHEM6tqHGrJZXAWsr{9*FaS_Dtxf|bbz4;y0j)9G?Gpj#{npM1 z05-PKAHbDo*EL&56sSwlB9j058yk&OBEU-{>8f#)A08moA^Xv`q-D^D58+2&YrT zEHn$iJ0DoZC21hM$^f)#(VXhbSBAQu8KSZtl%_?m0zAl8e9+=>t2HTb2%c z`nLwL%_p4^;G&X=Lw;{ThIacwILQrAskt%w+F1lSll zd-mK^lb8bKjlwDdoDDzI?vsi|7HFL-3fne~KGBHS)Qxj304^>rJpcR)lgZ@ahada$ zR~`w@uA$0rB3ub}AEOCJ-d);E~8$`+xlJzj*TG@n@cS?h9Y|(wDycaB>jF z$&XcyJ1DRuElo3B#yOn7F-8rDDqx$M)Xg1LXCL|4B-Zu=0kDY8^k9I<0PB0({i5s@ zRqOpyzw+$&#skm3v;_!QTR>92s9=L-(l*1U(SD5{I$uvd@%*oEZl3};b?eHRBa7#Y z!koLforVQd?xpOhaOP&A{)At6%MH)`|7g8G(Nrn_4$V_ z9A!EYI}1aP$KWXc<*p9qh?*5W(mLn$@cfN4>+4&izj*9odMs0_naq(QFzfYUEZo$8 z)__+dQBEaJDvK0ArAVsx-go>1?>P15yRK7pCK2^|1w{!sA`D0M@?x)bzHPl{Zk>;^ z?;s^NMEaPu&KHGgTW<)-Ab>3N%c?4z_s;vOEOhX_xKK5%uN&8|in`WQI~CTF6#z3F z*XJ&7HLaV}E|C@>k@F*=B@t)S%V3eRv;p><1B3N_%A({$sy0i+r_S;~I0epi(K{d* zbo^ard(_T`$ez>_LNG+mbC9QVyf+BDO;Q9AttHI*_xdH+GkfqpOflQGefX*K4?KDy zi`RzSyOsnGoxV8TI{WoA=DdJ{`|%socfm{;s^zcdJQ+hj{SWW|-VfZ9lze4Tq{f|E z=8@3aPz-s`HWV8%U0!0!~md7K!uq)?<8)ixrt&H9*S5L#ZUgzpZLD-`@4orS(S)*^5lv4yyu;J zyMw2ndGLb2;ZjTo!={Sfk5UECnI}xN%&VkejMB1H|w?Nix5q0D$w{I@a8c@uaneob&5f z1|qPrHRx&@L-`$p)A+N@5F9t`m^UIx@|$Mlu^6E^uS!8_F#@5>MCcAEi6MZ=4mB6X z5CW4iB_{_qf|(Y;guzLuo2AG`OyyG|avW|2j#HDzHBy)`)-r(rb``ZBbaw6f}kgm91N+S%%Je=C&&B^XIRR#x+Lwy>QtP*o&c1_=ftwbD3oZu^Ma! z9oJhjrb6m}uoth03FJ4?BU~$2l~hX*W~Do%LrK%(rtWe)o5yYd03ZNKL_t&{Vr*zn zy!Qr;mg%^Uk_)OEi$wFru{NKoISKb9!ur(AtvFKVk#c52O z^yGokGHZ7YvGDCYE)qkq7b2YRFbKFj07Ouu;<>V#H1+&o2m+0Bg|(yUba6PGI3c8g zMdw@Zy&>zp+phig#JwDIJbYEQNWHZl&vJ!;u+t2v`@d~$LgU}W!?$}GAc=R5Sq7F3!n79lo(fwUZ(;ig{;Q$@V*nA#5~>Q$ zUn&2=Z!GDwbHgE#w60ZO5YOJCYh2i#CgU*+oO$f= zY-|NZ0oJP9J0qCj)+m77%~jJqdGjqddGD2*;l0-z#ux+M1EOo&&CRXpboz7u^j{p< zW3n3Wu$pJ{OmK8wd>2LW^Z)z*dfTnHSX*dBJRnw;Da&ecap~0QQ}22AJLB3<9eb6!?{(OAhK6lO-B%;01ln~3(4l1jRMzRP3XwY*o0MZxG>Q)%fS3 zUme{TIuc+7qe+|hQcCe5r83h89LCn z?-ZNKx!wv`95|iw1SRL)JaSyZx@AbWXM~_!39O=s!UQU?TQ`qJ7ip+&GQR5|1L7@u> zjP@Y0xnjg3G)Uc2nvZTwNVWs#xh#u}JQMlwLR}CiC`G>?s;H8@PSPqd-=48klVI{8 zm;6bOasY*vLs>kL_0eu+i@I$@q$)}fDXpC}H3GIC=PeIxsI`gAV#TXta>5ibWl?NyeKg2I zV8)dG8Qeoc@?#eT`<6F9QWS+Y)hwEmcK^+;SQ4TWCIMl8NW2uY z09b2(;=ldRPoFx$BFrIrCe^Y4Vy!hq)u6g}Zur{Q-u~YAzUxQ+#*Zstp>$$Qn!F5K zQKw<{-uK6M@UjNs2b4Pq0RQq|{=7Bx;Saq3hd=QXD)2L8S`id51Wu#~dt*OdQUXF> zMkiBCNLb8OgVnvA;{#Y4P#}^d7zc(C)Fzs9|F|Te3NraG?B>rlQa;1zU(ETAf zJ;!-}Jd&P%J}tgkdIG{s{&mkQPY`n3;GRcb8hs@zR#E<sln*-J9B?(4(H# z?ephWMC9o5+^OS>3LUXXRoW}pw_n&^2_<-xTXl@*+4V${rwC+O*?Oo--Uw7w>7pgm z5~ja}BPZ1uki2ioTzj>$#K@}Yc`t-?G@Kzcr>Z?8Z(|bM3A5KIa*?|BI-l9ztDil$ zrVUa;GG>!A`qluF`}U>k50T>P-^m6KVzuUvSm!;F{D}w+nZObz`st6q4*=hA-z~<)jdxF(4cOU5wP>u*f)%7}Ro*h*D}?%`%y`S68+# zUD*sm+sq@SFh;3J&WkZB0uJVkG0qUQ{Rn*#i?JkO3rm4$*A zs?JpPb<6U?R$sUSSu#-kYP~-`z@zhJzMNxb<+3=Cxx#IXU}Zvy^PHH_HPGMqh1V3; ze&k{Jfp6bFb$n`#HP#G=)#Bp7we!1s_0{W7ZESvRcNfq-*zl=2s0;b>+yG7-17lz? zfIoV2;phKg0gbVxHDL$u?jG+M+mPMf8pCA)B4kWq?Qi|u&!0YZYC4^4Z*Pr8qrK5+ zdwbV=2Y`h&fWX489T|%=ePG*)wP3A5LhQ*UfRCL}o{hNS3}#Ob_m$KxcA zoB8sdy?2NJV1pkOVU%Ha1YdnOBD&|EyQg(yop;U{W4-qZ@#?NeM0!+LuU`N1mmfQS z{=#%RMZ~f!tEy5=$7`4ea}Er9?{7pJekEp&7-H2#O51MUdGCAzlwKO6jLiWLLE8@kX=A|83HyNo4 zy!g`Q_4U2aeC4IOu9NQ!WWHvHk~OblreSrta1$`V54cp4di3^B7BkIW6rx^YeGjR6JTHg_hwquP76 z);bR-kC**E1va0N#1$eb7FO zoO$}C&z`xmztx$khG$$LW^3XMFrTqYo0;6DSGr2N-++a?2$78WvA_K0*WGsPp?hvp z3n8U=Qk0HIO)aDo608QX16+kg9j2qqE-wKLV(tqY2D5Z3Y}ee&l2^NEPVc@ z4P8#W=hm^H_gqe!#3z0;XI*7D(IA!9{r~WXE`Q>QBvd>*;|E9cu+s<3-!1?J@ z?9+6DS&l80sdo#BM4}ujVpj7UF$T$yb3!^V3TqAvpp9LS)tuSrOtrc_*=-ahl&JjF zwpJFaoVFcxA;O-MR3s59IvgZc($dL1!n7&z(&J+cARxO_S(~B&3~dqX3D_9#3BdAT zC?b_DCQY+dgNV$R;(e?2|J_IMg-w58XjwYdr-pcRzM!rQ?VLR%`mGpamj~_A0C%PW zA}f<##GqzI{?<3_XP!M-81tdm^Y^`f^VIPvA{tvPEz;avar>?9?%rf;>(ACV{|t`- zA`B|Hczx*~{`N^Cvr|t@0c12jjU-cS>(ooE5f8*nOo(8u`Rvbs@^!C!?ZU#s!u(v* zG&{SyyE{8;Ya2~dZ*FZjOgEH?ml~XQXzH(r9m-Yn=siinW^VL6CYyZI~{+_yLv@FhhLoV&1MNt5P zF_wrPee`qAIc9%-Wkp2PIY*;;^3**Qd*r;teboMglkR2%jxqWQ5CXtk-tva^^$kF{ zdikYW{l-Ylfaq$yI0rHX9u%b-{6)_IoGE5lgaNc#KR&?43I*EWxtY}y2>9amtG6bG z>9i?Jlb|5yebe}&Fw9anZais>p}9dJhIFv#1D8%4iooBj%HqP6&GDq(-K)DTj*_Q( zi2pfw{mxS}uv=y^n-_%E8*_3){Nc3Ccg*4(1;*qX5Q`}!JlFy$Q^F-b_RjVv37#UY zPQ}KewG?|$VYN>2hWZLeI>Pg*>#S=6Q71agkj-h`Os37+<|tj|zB@WFXA1{{ zF+DPP2gG7XpF=_u8S47*6D3kBM1f;40*iQIbns6%MivwjQ3_GKGQmxXKWPg=h??(; zgqm)vG#LZrnc1`VUf<1o|H8%fuYL3SpPk>9tPaNgdCG@m$mM`>Z!_rMHhJls-tIs* z0}TMzC!7%fr;oq?k^65^3t?-FvD6hJ$@eNQ{+P_>uq#q2W=wPB_N3Gj%1C%J^B5p@ z4<(`@T3O%O-kn}s+1ATrx=b&Lj*5xbq6Yga-vLF4*$YK!1T(nEO-fjQOwI};Z)32q zCzV8?ec9o)E-v z8C^ajOQpy7&;@U4&U)`9rT>J!lJ!$6dl+M1BqFvjhOiAp?QnZ&@1g5e>$xoHUqAg) zQ52#5mR?nVeWK1GaB7GExI8qe1&9ulX@HYO%1FnT=uO=qeTR~G2moH#^pbfJSEiV_ zc3>?6PF=e_oq!0y#2^{M)v+|KKQd>Xcgiq4F@Tk^z>K6jgYZ_TFgKw2DvV7#;9Jih zi-0t>hF0TJ6~MmLNl%`y6FHTU{l*jY>8FpLp11FNfWPbQtH+jhl+HCAmd3!syt}?W z_nZIc=|4O-K*X{FLK>pDF3B%IIk`=C%8vkm|K-R3%e(J-?aenGHzdZOwYD10FD@S) z@9iufIWiiJPo0|V?d{dgbbDv}%V#b(HQZW#@rOPF&z*xm`34Ap;~?WxV}0+@`CyvM z!Npi$roOSzT%M z0er(lcZ+WXig&(#<6ZZ{>W2T>U-7q?4JhW(m$kJaa}{!Ve^+-I&}vxb3jqMfkfa^S zX8Xmhz;%2z*2HPau4`uuYTA$jh;`G3jAet&ygRA^WO06=Se-RAp0<-|ySl!+H)$Y4 zZzI`42}3CTB;J{6Krkjl=@}|P-!M3}I(?j2Fvp3pqim(;H_N0+HYM1XpdENqVrtM~ ze?gX6DWx6b68D$ z*cPbHh)In2?niF=j(6R4_w6UN#GIcSFw4SxrO^Yqk549m=@wUo`aFkPIeK-(FlrI! zf>{_w2Qe1j-kX-CZCme2>c;Jk>Xr4~C_E3yBPj-mq24MAtM4PyqiyHu%IO+)Hk?T- zskcsKL0~^IBMG65A>Bv4XCkGA#HqcWMnYr=)Q-L*mEMyqqU(rG1CrZ&Hi+)>2mrc` zghlNO)JxinfJbr8xfq!8{DrkAo>}?E`RycogV~@s%)NULgo=C4XweIHMq0Oj70w>7 z)PVMWgP`**(k-iPkFS#OS_u!{X7QPeA`Lo;-}g>-~T^_>mDr!js{vfxNaBFG*O zTPH=ylUTHQ-5WP6>$?}PY=D31YSQ=o*g1|sg zjaJ~vts)PRl|Cg+5NW5Dl}YdXOq~P3<-st$GI4E4xf6Cy0AR%lOS?Qk1_73ZQWgq$ zaXTwvvkP4qGhYq1Y7h|RU1Yc-1~Y$wqA1(8PWe+yk;~D`!DaEm1JV=um)iDoPtfBp z-fYZk^bx=B=IQC>@jw6lVdGk68I)95TemGBasxyLJ42yF6Ohy;dgs}*2ybm|KJnD% zv(J5#8ScOT!8g3&;bTXSSZm6%ICA93!otFMG@6?mPV4E@U;hS()RW2F00`iX_rseX zgxxV*xB`zq2ZmhT7!hy`8h!wo+$Als)|i;_7973| zX3;Vur|XQbB;z*(j3LTLDGa9G@X&q2?3k>jr8cQ5erigoCQ6n}Bm!L#_ALn4P`p z-nd@f*y|XUgn2F)%*J76LYqG8L2@ZUfHyNIHN?>E%-D>@U+h^-@W1FX%6eP9biY?R zRRhKieHIdt%#1BDE24-)@^6zY+}L~1F~XXKzw-Eti1?|`KcVs+@4$IL0&9!uqzzis zOi|)ps2h@l#0@~B+%_Nkp(B6que}Wb?!Pm{DHGCQP#{227#-PzNL5r_!ap(bO2zG%X9PZftFAjeAv3D7O_j@3pIlSeEwS9X|_< z>E?$BStPH-iaZPx1oJ+jaro@dnMu6xaNzv*rnNfr$PG5H3TVry{ zZo<@}KXKq2F?;qA!fD~T^J~BKndf`>MD`Y#c^FU@mJJ7SC-CKNm>e(RJdI3C$@JJ} zZ~DQvzvcsPzw1p8-e#>Stfk1AF)<%qa}f+u^5ja6E^5HiRj6?mLjiF^=|>8uT%9Cm z;=o=Ai2KUM-rlIbe0|G%))}rb$SkEbUZkL4bYloPE^;aj{! zpFXsPTIZEBlQeeB%6yQrG+KBm$v(T*5u7G6hBTHOSu}ULb-r~z4m1vF!TX>r_IT$q zbGksXf!JY?^DC=^bA)(*c!%ucX0*Ps1Y|K?GMq~Lg;G5{$W?wMT# zRjnb8(Ss>Xv&&Qpd4=FwZ>*6n*CPPQ5}T`R2mx8w*>!wzD+y5jwUGe8$^=dh5doG4 zW`@!m<<8JS!dWwtnbvyG*^U&?%+$5Yoj7ob)+1Z5;pm(JwG$E%k>eGtO;Ki5R_K^T zpfF~)VO=@qN=P|N!Fdb9jV&N;TkA(yC_x0)>SVAZeWP*q9xt~dBFE-6eGi^Ihky%! zY{(c8G9f`wKhAzqmI!+F`s;u8#8Xc{ot{Wn9&Z|(EnGKn;uqt$}>*nI6 zmz;CcY0d0+c6O9Q*pcy=^PsB(CoubQ;+dyB8)qKVCP^41Cq$K$6iV=vN|E6m<{#n8%2U_Vp@~ z^uw4@jG==$F)K!OGJhRkIYmITs(wDbO=a>imLNId&j$3=+^Z0P)y`sD^07A0ypUy7S`<**; zSzuApJV)3Sqad%Ze3INh5^}>|?}aGXPkMHB-Cn%9^)G(=SrA}hZ@cX~-g);U_uoQ9Hsr`sf^3U|QiB*XczcgM z#w*A%$2RLqFb%mWGnmL#h7#=eDV>BDFRos?x`hbB;*|;0LX#^>S z?zbFBIG{qi8)LW!Ud`ET*vuvpZV>%C6E`%YuDc=dbFc+l{J|qfmfrpDZ+-W>zjbqS z`?r4ki(fhO6sR9oQK;+Y1Fu<7UY2Gi>I$v+E+Gkt`VpnRPalP%u-)<&8xM_I(9f|w zn(iZkB&L*vETusIO^}GN!0H4LalMw4Lj-`O0RilDkO{#BvvwvJtPdb-uxF{;`%mSa zN8)@U_;96LjE6>!SX{5O2&L$uqByt#JMqB6yCW|G%azPmlEQ!xv9N`{dE3?+1GHGd zV#VinaQ~rjg#WfCK+w*Y-37gQ0d^*`F=1$ZNV5-gmzi}#kH3q+yn|MEJ$&n%KhV@I zAv8^EEH=%=kUx8N^TLITfAZBQjIrZM%`B72#5>3Af!nfxBTG;K+dw40H&EBmw4h}E z;SfIjet7pD{K9V>o{t9r0E4QmszQV4J+mcb76xyC)C<)ZDvM(O4-y5d#2GoAWM-6{ z2{u~iU@|4Fr^b*m)>>m|Z&Vm#%CdOwxwC44eD=k2pZU!1T5GGa3_7UD;F3%LKD!jZ ztfnqQjG$i&=o{XBYi*my2ky+`LP$KZ7C!mu(_cKdh=_&B1ax_74#4YBtCSnw?(E zxHPIG^}A>_yI9kBzKcl*J z&KpC@51vfN>szDbq(O*iO-k)fgTds=fKh$bsjfrndgo@4QY!R6Mf+iik;&n9kX{f} z=x&(V8Y3WtT~dSMe~SLIKD;Zi66Ft|sEr3^>d7(0P?Z}b)D!Wfy#(I-UI zLYPH};OwQ1)s4~T9=puK&Ur0`^@iE6v&rG%8-MNBQ1;ybItE2&P#p@&V3a`-nf|FC zeec7;GQvdAE@F3SE#`nPYc*+u7m{G#JycWEv(WNLPp9PY-MJwOhHN>6PHFeTg_Y5` z+1eRLFJVMLhFD1xsD@ShSamlKi6?>b65xNm{|V8fc<&2q0-=>zZQu~WX=N-D6NWon zr)^!Tq&3<@sTAtL;yeebAG3axz`Bk^kr*q;U8)EMYW4pEO4}=x+3iQxde!rdi4!l! z(Sm+?9cY(F7C-jU@BP?EzxVkU&YgMeiO2rr8~VK5d~A?uvpPDp1jdw!3QpA1u0v|i zj7W-xks*MP`b06&V{>=f&4>U1;N(CStJINo`^9_N001BWNklIg-j5#v=zYRfvna0T9STYu3vW_p(xx9-U$ktc<;PtUyr~J zD#Y7{p(Y-COGF!u0mQm(7Y4)7GjhO#cU#$MWT_GWm@9M!ViCZQ5nC{`w)!l5q7qFN z$ydmr?j>U8%?Wsh`Lerlh6OBn*`9(%pNI%%(RoS+h!$*og!d}9Q@1#FWy$cCH$CjV zM-b0!&^ng7u3al*ZUoR6Ti30|Czpm1?aAWv08my?lrS8E_uxG^2Y}!lJo&;adLY=d z178{eG|VjQI0)>#cdlvLOP4MmP||7kvGV>QCH^J8W9LZu7vB4hwr!`AiL+KohI*N- zwd$l+mc_=##<}wse)U)Xt^QQowrUd@9oMnbAs{AFR$8=gl$DbW)ghZvr$jfst^U3D z?w0n<@uN^x0095?_m@8P)G`@k3$wx0)R1{d4j$>Ga!d=sK-0S@^Omu^0c(Q@69*A^Np`T#5X>0 zbKQ8I&z6M+1d}Z75I~?ROqy0BAquKK0ODv{aWBtoEwzqKv{m+AGqh2qz9=whT4h;? zz=b?LUQX`SX?CfqN zb)btfIHjuVNZJtj;5-r|NkjrE0sydsV58nDqZ_4G8af_SlS$DykGgf%kjB<9vx}a@fdw9u=K+*4 zg3fzHVis$Ild<;9=dWxjZz87l2mm|JP3xP+`4n*f%GeDDUdLV^_{!FEJa{5|Xz4v8 zQJ875y3t&&*OVaRx#ylc?z!iVANnhQadT_u)1Usr(YY;&Q$YZX`R1UmH=bFe)Qt_T zyOYp7hczUnp8zn+pepoP_$Oa}zKAH`=^-vw(1CHRkiFM6xe7=z2+C`QNQBm!QEQQK zy*7xrHU$w`o$%>70|-k4Bb}fjFe|fMR7Av6Ls%K}ffqpfJx0KRKxwgzqZMh}wrM{2 zR`~TV0rM^r7y>h_thLo(!4lm*Z?HHb0Attc1V6gv5Q8A$Ud!J5otl;_m^Z-U>t&GJA9VPzuwI55(BKVMb?ussC;SSUMFP(_ZnYwaZaD0oa%UFMN>JJ47Qiy!@N z|NeK5orAUQKfJbeucMosbA{E=jJEMIt$_&Hs*#d61T1i*JYV>W2w*IPs5<~-;Dw9u zGoM}1bR1Z2pkZha&dIy&?whS8L?&>fDHVlwL9DtL<)pQ3bA4rHUqK8-0oDLgFhXwG zN2zF+-m2gHhDRQ1+7`p;5+p1>(llXyrDgt;PduF)yT=(ad*`5=O1;b+X7+o!B*thJ zfso}*chKG9Jd_0>!r%P4n?~Lhg)OZBvll1~2%<$SB75|8M^SPK6soBph`{Dl)~BI9 z77&Z*auLt}j}z;c4t$%|U%I+A92Ccn%mcu+tDAN0qCFCJmkbY>3Sf^((Isd`kT%Y% zF*h2SF_n-*eLDL#5#D*L2v9F$w6mVbNya+;m)xZ(S`!2TMhuno?98bZ15j=BY)v_l=6wzJfSY+Re<_ZvgM< zfB2g}@P=D&T7LMR(>krThO9A>XM%kpqgYFwA53&$9g)5Vu{E(mk@66nF!lfB^F{#9P2~K?@Vk(4WJHkQdX;4uM^rt z!JjE>58NGRh!e9+nE(4`Z8&4sk{8Mc8MJlnOU^1r{QmFzu6!UI_%ZP+i^$OcZ)xsY?weZP5oy!5f0I1Z%|@K@vh?K})6$ zZh|6xcY8HI7LlfHlUH$Jj5>}Y!1m5g(=^XMcXsAsQxq?GJ9aLw2_BYx{P#{3ls~V@ zcq>-rEGqETpZdFhb#FX{fBxvw^PA<=Pi;|vK+8fPhQzUymK;lA=(Wos7dKSL(*F%cnXtW}fxhijSm7{fNIJ2LK-ba*9&s2I{qfgc`1LP5*%6O~ zgU^~|QAE-MeXzd`C2BJEp`la|cPGvUm>CIvHu#nR=Z2KikaWFsO_#Lfd3LK3+x@nca0O~^j%JRCCPg^*z?5obzLG=*|wrmv=l zfB=vQ!Fn81Hkm~!A3AN%BK$g3l8l;}2Gk(+QE3%ZDup^lG)*hQ&biI)@iXVvKlj*W z4LVXDOIcW382wOcIVTv&(jfsj;PK#mFUvm0W#u(7973fytQ5w~)e8~7uWIBwhF=iJlBfK-z%MzFs@k)5}_4VDI-N}`eZ7pS- z=fs)OBe#aUS~zo(R3!l$FtcMREP2m`B`s+c%kKzMF&17sD9?c}0e~TKCKx1x+P@Hm zH0ZK0t#d4bpr0k>p^5jJ>Kq123jLFAbNd(X!fP^q-Ydo{sVC5fcYvLEHZrugMANq)&ubP*xz|JmAYgm{EYhiw#E?%jP ztsnjJ2my+@#d3amvBaec0dcpc^%?}g3tS%yBCJi}!~g(rX@H4crH@Lo=3J_9d0-J> zd&<`*SwyJ_0IZB&DS2^KmudHH?X}2#`q%(u(AsO;kN+` zoI17pE06B+@aR|skp{&O(2iR2%$7{x0s$hK#V1IF@r>I|77KW+S%0bn=}*23~4oV{HBAinE6LPI+@n+iCfa^rVU0npb zXcR;^NURX5u&f~7zuZTAEb-o3W4du|&qFPx+l9zD@7WM`9O4vU!*cf0%F6ogZ+`J9 zNi4ANUljI{m|w8(IC>+G1CDd%Gsdc@o0fm#;~%-@Dhr#!nLEr( zC$n94U{qyAy9^dl_uO=r5}8SN9i>l98%0J>bG|HWXo|8eL(}-Mr$vL@#f;gvt#b$fvbH&T`njv0{mM&Gwk#0^ z2SW1Ji!fq|HWd9t=)FWQWFi7X1c+g!6V_Wj=cCZR@^?RM+(U?St|tHj{M3)W=b?LV zrf5B;_irTVXFnT5cY`P}#ViL7XeWx-YZFv}8TldbfJHC@`~frtF#io9A~7=(DoY_`8KTOl!+jnuC87H6VGJSn zBFxgctO!^`9)Lw!$HrhRTXmx1_1Uq8ba|Of+p4lUpHcr<_9DXAxh?`y^k6`UB1wp1 zgy_@QUo0dupE=BI5e^~y;VT1)52g;^z!mkNzv?}A^ysqQ@0|i|hDw3am@*!s_dv1l zGDInZ5rSo&M@EW0Rb;Irduh=FKv`H3@Lr0dmm;z<0cKvTx|8q=pTFr%w_ZQBJkgMj z?Hwowc4uU&!m=NIrAK#Z?wA=aA(*8~mMYk7V09XZa}2OD0RUN@$jLbb zfu#WjQeUS?3T}N1rN-L9&J;8|Bc;x)O~YAPELlLFUt9d`2L$Wivoy@_pP3> zt!*#{E?tF4bW4zRSRGwQ2+qqe91>EQ9WX6i_>cJ`4@`cJ$Gwi%ts!EAN~(s0&A?b zrlR?ITwEwm94p6@W^eTD#^%$Pul#Q9@0xdK$-v@#Xilt!Uwm}=i)RPcT4MsCoQTK- zkU$6pJ1bnTA$cH(^n>sJ;P-yy!w)_5P}9^Ko13H2Xfzsu$ll&)V{>yloem5&O*?jO zJf8GGVgn)a#e?@u28qy%&ITn=(6h$y&bwYqM24s+ETW>+s86rX6(_ax%%i>0e)der z22JCSe%G2NoHCOfN}c$)XYeXvy2LE^+*ZHmEq-+ie)3-%D2k#0@D5Cg$^av^rT`K+ zRmt+4j)FZQrBHJHy}LDRPDP@!xriZFEMcYfz22bPD)3cU6L$+5f<`?-UINd!Chg-# z^uixuCOz2yKlW42lX$moQyAqvNgP2T(Lfl19g<-A=gEUV{Yp!dnJL_Ac)c)w>1&~oy z!>k1%f#R7*l=OUrFxg{iiK$1WD>o5IY+49O;F63P*hVPVb5z}> zeJD%fQPywUy0Wl(%XwjO48gliK*ZXRS<|*mLEK3Ig0b>$T5kxOhK&I+iW5uIwBEI& zNiE#@pR#~+9#L{W24op;$^Q}ib0vgBWD>pTGU!Vi8s96h@6$6FD_U0JtKVB0)+66sKi;%W+6M=wy<9Uk zxKIsR?@MEes3h|2OR%2(>O@v2aMzJI7LNzFQ~@HaP7wfxw&<+fGcaI#Dk3l+BSaBt zX#nbW98HGMJ(_yHE&=oU1i{#{#%$_H1 z0uG*d4xV@(zyQpFwFU@*Oq72TAsV97^Jb|^fHSifL5}hh+-qQMl3_scxIBYFP!6%l zXsI$22Jou%Czj~;5J%6DV?{YU82(K}?6_C_rb%lAX}UpX25BS=QTAb}c@j_L07x#! zNf7}tx--abL;`Y^Qg2e<9KkFBffp~Wu5Rr9<`#+kk@cGi_tPek z1>bftqB$cX8*K-z2>q?U@)vGCx%|LgH|b6|tO{cTuNMH6OGiYxQ@<9(8f~hwUm_$( z24y-d3AzxS?F3j001@lPDIKvCMG=0E7>tyF84Q=#wHdiM~-m z3BW96L1GOcuqo0~XoX8hzo*=e~JytFWdj zt+nduCd};Ar(C30-r_5Ajlhh32#06O!ONNuAA|(=A}%s>;u|acFmrbGA#+iJ8xW)V z&vjg17WO`}$szVFg6jAPz@F3im_;a7Xu-2q#2BMKl1XQ&_r>GqS5q!we@fTu7*@uE zYrDm>D@)9L|LNVPnci_S67E@9aDac<23ZJc*xv+8T5FUw{Ajw$t$XyRNH&=E6J`mOaDo{pqd$ z#~&{sk}Xk03ic_^Rzi>=r320h_xc?DqmTa=))112a9!7niwmY04CjvmPZk#!H#Rn% zcazEZc~@9LmT%%3s3+U z01*!`Ft%k@hj;`yHN@i!1QAm(VZN)681B`wSp#=_UEY5RXHS{4K4bNO>J7R?26z0fh4r4}R-YFAFe3{Vfz#7z=aF%~_NnCQ~?ltk#vwq^os zMPze(^z6B7kN&|^BEVS~sNPY@RXed6qbv-?2>97OB{t#-FpdRp_fUoMQcvF}{?2!w zIJR)piDg9|=Y~~bN%PE{=c=%hdIv%017K(kI?o~aAP{ha=M)08&g<)jC@gP;sy)&j zyb<5F-WY0KkbiA#jn_6uF>^Q?TEtc*P+0=n8gdyrL1erUq%%k84EQ=zEy;0u>D;(t zAEV+nRo5kdE@naG&F%5ABlAt`iO_j52A39wN0x@Wqh?yS)4H9(v=nRaW(T_9D7I&e za2`4cdNQMgE+tVbtgcj7Xq^W`YC8bH=U&?U#Ydl4n-S+dC+{@j%w9=isD~uiYIUFW zo>^M;I%CDJwgmN@2l&(-+2D28e(vK(n5-d zHNJJiN{2*sQcG2@h*%TOK^(QSbcvNw1Q$XEAt$&Jl9o+ci6xH!1`ZVHke`PDU<|Ss zoy_<&M~M>^rNBBLuVr8#Me{c1x@leOy!Vei{nF?E%OE)C{(>WOmn zJ+SP%rrT4mBx%HyM~z2@Ob9z|LMwZ8Ki!6RY6O7!61;a>>n~Iw0IQQ;!g_wc=nVh> z4m_g!Ctn;6%gUHz0ODMjqp5~qF|B4)nu)vvK&>CQU`x8DMP>o391F6`{Vum2t( z!TbOm3#;19cjh3>0?fH)U*|&XnTb96kedi7MvO77w91#;|4cEnXW!-9YpPDP&x!`x zA)h%{xdu--uiFc=XFI5i0!o0L2_ONQU_U%*EErQ*+pq^?OkwXoZUc)f3|*6pf53(} zraeu%M7=*|SSQBXT4ol8PTmZo_3c-y@5F58MmAQ>aE&a&AWQ?II3n|T*awXy9B)UjhShc%S6Dv*9vesB@6h%X8 zLLX)gA$k_)m7u9OQ>Zdqr`n(p4dA?Qoi_$qWIAaHkwSY2-to@fbXvEo>w5`74VYXY z7$tRo01K5{DJeA#fqRGoWFI;oXi$jN_tv-jI*LpuOxsOMC9U?_3LXp z^K;dSV+(~fh`_>aBa8FpaA3W!uC4B7rJCfBWpKTXQ!rzsPh}TGvX;CTBy`M1n}J{) z5rTY}`Nd1?U;VS|Prtb7QxUA0O{w6Gh(e$e#y%~N08=o=IhS(#m>JL^A|VxpagJ(i z)rvJHb*T^QOc4?+QIj8^zWUQ2f8Q;qmX05pPXatG#EZftpB~bD??{kPfu>S#Awsr^ z-`0D!0RxLSBEy6zhP-1nn-K&hZ3k8i;%MAlTibc*>SoeQ#Z(o5EB~lq>u)l~*p=gTN{Z#_<64ahWv0-h8^mG!T zFt|vS(Mi1~)gkE6lGRZ}gzKi++#Y}Zx$9s2>Q(Q(Ca{D7uOULC_q*yx+twpG&&dM3 zi?a%Hz(Zo&Z}8%5otk;_pR=%L001BWNkl%iMAHDvrJ8wF1;vH{$Gca@^i==oSp@6oRgu&rkS9dZdMx_vfaIpDG+5n33Uj#ts zTv3K;t@lzEfo#Lfr{_?!n&YA$q`U~4L4YiLZYu;59IphxE)FFT!Q!(?kV~)uc4}Fj z1_^KO2$WX}x>c=C1VHnm6PXABO#Dn?b7Np&SSSDx7AxABYNB3p?3DGI5MaITg_7p> zjG=5rEUm_T@?24BJiHg)9~ZJZ#l=biV(cjGKuZG=aC;60MX8GzX8W%m^VSpyabYkA z0BzfN?-nZ$Aghyfq9Ky#gqcx#dR!{XX)woTK{=N6# z^DS=!aRzZT+O?)Qckb*j|H_rYpnC9u2OoU!^@wI#x7V+)o;h>o(&a1lwDE1dNKcL_ zWOXji!_p%B=wAjRxNsRh^`#jK(!p_(QRUNx2SBF-3GVC%*2LO7LzWQU32=J<$H3@| zVs6cQWQ9^pQ{r8!1osW;)mjq^Fn81Nzzgh52KEu&B=ThCMxu{&@2QZY&ohn`%Fd}F z#?SWo58Wg^?&X2%3b3_3e&*b@Pk-s_%HdN4DjM>N_iEvgd>FtvAOyY0%<3etPoFJ8 z9fBBjLJWq0rIW|$Ir$&|v+rS+2kyF=!iW%ugF*wG3QMhH-7rjyDe~NnZp*?jCq_LW zb;MRmJv6i0qpDA^a8RKl!rs_xnt-6JZ;h_5?xsRhQ5%DR6hkGVTTB`b>Uu6&nG_-( zg3WO7>A{&PEds?WMc4z3gSibPFe1oJVzC82ep>HCF$991c`|LLb-T4Qu1b6Q#PY&? zRaC~gKtX=ZEk{?^cc+cho|iDm#Zoev7(xvL)SVSgH|aBm0twa&5UMk3+xYF>iCRV^ z|MsvV2h=@az>2=djEywx3b_@A40nn=5oX5{<4(vkD`0G_A+H{qUULeJF@-fVgb7gB zZdFYX5#o=1^vxf7?|mXMekHDc+|MM#G@*-sQATX?h0R<7pyPZ;Q;twdvV;sdLJ5K} zfrJOM6xIsBix*d4y0$GM)|$+xIG56PtW350VCJf{&T*vibq!YA`m(f&rUzhH0)(F) zdqZFiImaZZt`xfU7KIJ7_(->B=>js8S(I9}NZSZTX%Kz!Ja-J3wsqb+H5FkN@7=F` z;hC?$xRu0|dAUeLhJtOPx^OrzP3t^^F`Q`J5jV_R%xtkdB(5^I0hUZ)Cw(?BW^s%m zqwkQ)c$PJhDJO1Fj;Gs(h=zl~@~y|LF;_M>pS^JLcmCiHAG+(#4}RNwjviUoo6V2` zBcZ7lu?C$70q`t^rS5a6$6|zI6`;-7dT0n4$PhVj5Ysme$sLPK1Y}4!Z9AD)Bfw4# zN`4SP?b|mR0f4QxGrv4JKSY~`5A!i(E?w$IR#vq}{yah>q=6V?y zD-?jm3ITAZmX(QggvGuwNXLtdi|fvGqQ^UF8-Uqp*1R~@z9il@4B&M+SgLS&er~Tp z$6onPKH#HWp!ZgdfHGf#wS^(mx@LL6sx^d+h@8-OPovBLJoXZSf4^a_uSe!m< z!W%Rs&wIDb<2gT?On{rFY3sHH1e$VNRo45uu;#HpdF=5gpF*Ua-AP?HzMV`Pan2*} zSzMhT!k~g_4eX(H;k3N=R`{`x!O#5C%ZyRJ^=)s|9mEhBV?fi70oZ$qgC_*Ibn(*u zC&i?cypC=Z%qT(;j7ee#G1V|`JdWG8(c@_V8Vf)GQ$;usj5)e!4H`oQF%AtP6e1i} zFaRPVtB3)f-#*Chai%PeDhn_B>*TH=9<6UL5#B#%rnTSPsqL$^B#zYKILj50eYCaI z8Rx#c`wC+0wPA@`I%6J`cWsGWAzA1~sLXQev4Dtt<;ioGuWfzpnah|h4Y^4%bGkJJ z37i9KL;y8-xOGt2@M{YTEWr&;gXl!GFaX40@eLtW7f^tR{<|Oj$Q$mv&3UgHRnI&( z2%f>ZB{!|p>ZK~l7-C7H;7);rh^lr^`;{WZ3hMc&l+r=8)=G8bTIUOEl&rbCH{IMG zPo}MkigZT_9st3H5OosSWnXt0$*J>?8xl}xH7M9@CAu2+@!}b(vErS{Z6K_%nTSdY zcOQSkdr7r|6CYJvFQw4*bkgdxO;z%4#bFHjM1Ivoe!UU@3*|}?%PjT zYYJ-sWP5k~*Z=#^HEpYH3u=czBtYn~1sAN2MNv1ai!XGp!$!~NcfI{JA9%;zZ+zfZ zlki{w6`6U@I(bN0j9Q(itn5w`qtj~j7>iG|CL|{Xx~3v&F-m~RU06~MHljfgf!)#c z`r7X0Yg?_0ZVaIyjx*3Mdy-;!o&bP)hckPp|D2# z3Z*MRpDs^`PQ)4`d2@hBnG&OET#D!hf%BKIL%qX*TrLDs-?K-`1I`A|Xl9Z9>{W6a#|9`Rfroon7*Lm1l zYwvUJy>IN-a{~|nf&kF~0h0tL5ClXrBBioYlB0+bD;g}@3S}vZOR-XAxuTS1%84ym zc2tUn$fT?xR-(Z&DcOuf0-P)nAP7(*F#`lZVr+Exo8Pdcm<%)uitQobN2rBx4vOd>Jqs3z29CPzvh;H$=-2QH?^a_ar*~g3{5Im*bx~! z9FDw@Rz?%RQS-Y9pp7nCk|zwF!Fl-H1MtcFUmpKl|C(#9Rq{35UCuc`%}vsJsj8}~ zjm^zzKx{x0En0CqhTS)C!vK((;@O8$RKpIMjF2k;NTD!*K_H_<@wT%FL>`G~&ttk(7j?JheclK=nA|27@47%$)K>p`E5g!+R#ixck9pe*501 z1fXBngGr@MNkJ3Qobx2AP>1ridS=uPe2B%fDdCVs19{eNKrNY@#Vp9NUoX>d>O3~#kqD3d50sq1b&bf;l&HCn^wdPgd6hPG`W zJ@L-BT=VKHk0IiqR}_UU%OVnKxVX6R2mk!N7uI*b@bGiL{*i}0dlo@vjr0)_v`9vY zZV*5L1Ra4Pef!(5e9zmjyZJSj=@ZGzy%Qa142AZP06-a|`zc8LL!NG+_frl4v>DE* zY3!=P*Xu2X?ohZ@TMh14pWk@qg)Ks0HN<4&^z@FW`#eto4Ad-Pr5 zG2wWl!Ul{CVuqBoHYJvjhnQ`W%wb8b9xg)?Ih$FOa#~ ziA6C9vkX7N`y&X2u`kF7z*0|j$!c}SgBn0^uTG(j z9%u6bMTF&Yf3d2e;NGpTU%l;3^>tT|M-v!Tcc0t7R?KMtUm9k{fz>8t%=RPbV6pq|5kO3m?q|Spl zgQU(786!l3xITe`bfh1yVH0NAI6c-^33$BYDSm+uM;`+vkG-Sz_8wc@#t^2Nx>yvJBn(}>~+1smy`lI58 z@K;A3s74P9LStySI4Hbd9gWpC7Uq&Q*4wi>5d^jF{W#pgfqg_jZ&JUz1L zE>oN-ho|P_i1-uV_l9e)Jf>dwUawS&G@f%n72cY%X|27g-#dNs$hY2pc71d2@BG{+ zL-1VOAc@ErgGk0&Ym2}8eQ&(=byuA}xgr9pOvuIeNj)to`n@HjFpcUkTme9c!oU!k zmScKLM;o4W1wl72z#!V8fP~)jq-vgec71zyl&ZOPAJUVLqMQ_(CfSrfX|7a2?3snZ zP@5+RoLU6}SY*%IY@)XVwYhGPA|tfSSwmM81{Z}1XF|^y8Lv!%(vnop`_S4*Dg+eO zMQ8)CXWrU5xbvQ`Jn+=t<28(?n;4}W?8 z_wU+2+8gu@zSt}ZkO&bamM^@b6mujq^BiyneE?c58CpFP4&g(jkdrEV;QypKf7HX$k?^od_W= zl;M{fgq6OCz*1j^mF!kj)qdJJiin7d?5$sV%j^7q@omG?C!{F*MX`1aXlM6P;QKDF z12;D`d>j}43JVjWcsFrUJI5Xg8dDZW{0A3%02oU0$`bB*aQTZ*myc`~ATU@8*BLW6 zO~Z8!RR#47IJR)~=n-b`yc70y10qm2p2$E*Nf*~N&ikngqqJa*-tq#dIYMzp5k}*} zo>TD#8DB1d8v`If1z?pG)_)8Tse%{`p)pgPrhv!@*4n78YZIuv0HEmHDs*kOY zEDIf5mxZ;4e2An?E-{H&4Q*~HN8MbjKLd#gU{TG9pdLGImD7>5;uz1exihS5zr8n- z*j<}UX;DWTz;sHT#4I?Eqv{z#<6T=p7GI5yW-l86tp`-I&4$B&A^HP89vU zjq_159#m5t8-M~47(>nmRqm!UW&r> z%0hj2CUE&dA*}~^BC?@rFpz?V&^g~UjrYzu|M=4v{^wu6SJlAQn6lUV*&lz`9dEwY z7^?^V^u0tB+26PlC2@a>ZWU1tnOu@d#Iz&FfRTWZv%v54Hjc*iOBZ*ae`!0(i#^Mf z&@oZ_O~k~Mw}iCS&AsU|LRY_+`jd}RXKekno7aT zZj?07}T?lYN5&N8!uHum;vIXafb{>T#C~@M5)}Z)sU$(VgAJD zJ|rS4iemZH>WQmP9XYaE_DapDYMNlfcg{^Fli~Kxd#?M7%a46WRaGDo^9%sP!26m-hvB>lWh8a%w;TvRsOE)e0>p&b}uF;ZoW63@m<9$xgr?e|cme1JH)`tReOc~x zCJuX(SOU`hSnBl+l1_S8N>R3$GS`g=*G;uM_Un_58a{te-+rV2o_Ft@JT@tcqTlcJ z%2EKTs@mQjzI1+a{vy;hcsSe0DK2mJ%%f2~Zr~{0*|*OfT?S|NmT*B z-v0jMPdxeYKmByh5chgO1b_z601yiT1Yu85?Wu##`=ZZe!lgq74w@Vjo50vvqqb-Y z(?W=mE<{9XUe-(75;A}#ax5y53mqJYHjnnq-a~D?3uQ?Fjj#pS+1ObjyrOUS59+${ z80&SU!*2q9@Pq&M8stF-UB?N_eweW>19`~Sew2n zZIC!2xR48B-#O_DA@YrXuH$|R4J3B(fB61e&R%muUo@3& zmxVFvjAXXPK$h^OQB$e5x>s0;%yH0?s%XqP=bH7+{eN@Uqn~}?LfPwm^q0T4*YEYp za$!)aG(6v)15Zz(L~!b|PuGTIQP3>Y(o$6qLmQ5t((y3G)m_-wd*bN}X%HIPlA#SV z4*9%90O7n8+Yp0RSXfjfO>ukX#2JU)6OQMQbf{~m&LUD2M%%^HsW0<0gson0r5NB9 zGHX)@P;|LN!o<1_v**TnB4`@toZH?#c<9R)R2`uob0A}8k(t88ok3QHiFHB{V6k4Q zDe#a;FAtSda~D!y8W2O|Wjj9i?&5gq2#Z-s+tj^~ZkdiTXxvT~;5SLl4^Hqj-i-!->He=-pXOWnABzgJj; zWXiI*?z#^f19=*-5*gdOu(^%D@;eKaRJLGWEM4FwqLRqO?w+;*lK_toAT>fy+ZjRj zCa~I1triKw=J6Bx$w{IL5VPXd)GIV6pdg2*U6Nj)%UP$kg>)85OIi*m10xI>^^~Nm zoh4c~i5`RAN%+dMt8>GMOO7f;GVo136!UBO{2piBJ7pD5B630En#tKrC!bH%#RQNXFGOiNpi|$Tu`5){m=K&ad>g26k6ppxwRE#?C>U-2)M6 zfx*_(ofe-%iFNcx2LEE_1@dQ*-W2~Vz z@i8rp|LiBf>*(sj+UkO5NjCOgX|?Q4H(jQEUfdqvo{`%tI@cDSjHCfHXtu^#;ha*!(@awGQRD7qCx0UN}=$A zLK_0MTa&Z}go!v(Po9Q01ZaX;@m9u9Pgy5p3|1R16JV2wh&b<=CDvO=)3`87^8C3k zJ$Kjr=k#$ZQJ3#h5fg`~8Iu^f_>p3%Qkh%_j0?=A#Bw;I-}}y6&fM|Ft8TjPRNc7A z#FeGht5g>b?^%=1l0z|>e$wg17;;VuYa&&zwOhoJdZ9zC#duO9!1jK1{i`ouTo_nu zK)@I(EcJVZbU{o~n?Ni#i72qU)WtSqirpNNW-^JelGMsU0Pys)>(8CrN`ms(jsc!U zH4LgV4)NH`hRCy&h0$q-XQn9N)?|*5ZWaSioM&q_OprlBuSZZqOVYsyXs!|nrKPPk z?Wt0w{Q?PC6jmQV9D_s(Tz_MdV!Fn$uyf8k-#EXsKmNtv{gO6^bMS!zOu_BB05>0BOhch@9UOF_L*MN!(iN z;(v`Xya~8qZ>-6iM$_gk%uUlAj7C5Fwky1=}!|17le=C7Y(;e-jX3d6MDtnFhn)v3KYv&w$eL|-OQ|*1SH<3v9hF4^@ztAeO zK|o-&4~u0>!F%siOq`AcyJNmMZmk+87jU&72A(>UD9hsYuj0RY>&2t1`y%3+#xr~8 zo5mlETwTKe%s?~0Xbj##S;C|#|EJ%(mW&zIb>ov0fD-E-i)LJmKaQbq&VA22-~Il- z{{63d)zvG@t7~gVkZ?R69}I`X;lXgYzq7NmvAJE>^>{pLT=UFx&+qN+|MqYE%f0<+ zF)7YU&0y01d)*@wmaJ;Q3D6}YT;F9JW znZ(#&tFkMyqNS;WF-PCa`VR=lX7yP6M0dHb<*z@aqnT1(!rhs%FQGtNa(3OJyp*{Qm;Um=R;ienk@QXM6`Xi5h>CrDg z@aYFWIRTjr`i1wa-uHz8j35Z$&;G=BoW16>wS|l#5y_zUs>@-$@txQGfQAQ^(pm?jYI&*OIKRC++T1?Cta~8!GemgO z7UrgFJI$#nB5|e7Vly+w6J8)buyww**Ez?A3&;xT@^>vfqeT2do>`9>D=c}gv>s0# zaC$Lgfe1V28V%nUcHV#fffw$&|6Jn0gtY~$6Gw(^BNj*&U7MQe6E8Sh(UQaBT;CC? z&9M8v|GQp$`uOsVuRaMN&NCqyLnYGULcgk=#)cq*civDeeAdni#Hb`ro^o*F5zu>& zg4(!NGZPtONJ8r#j3>1S=tPfsvb4}c!lv=on!0f;W>Ps@n0{%kp=csRKu{${Fu+ae zh~k+(W$mRIaiid(zW@Lr07*naRC=5$@bDr}KfAs+ob2w86Xu9BoN#@l=S6u5OOVQh zBcE=Ilr5NuF*q>=?}zvituZ5w#h}>!7*Z)>N4mroCqz(9vl8Ki5-(frAAMnWMsaztWSUQva86|O-1)s||FP2gohro!iU!@}MHmcEk zf8+5*LTF;_fr-pki)3SRdAuYfnG_ZJX*OS7HCn5y9GQ~7i2}=H1+g9h;P@K+)Zf|t znO{80A}MYs6Ga4M=mpj%8czlx4k0D1Lknv=xHBdOV2+Q~#GJZxBV_d9r{R8$0&sEE z_M&&*fb8WEk&^?`zgy{tz>Ij9g|b*-}&~Jjx22=0+B2(;GhqK zfqd%zD}LvmWp$e&LgT$iRzoQH#om@eatwa^&bQol`xK>3pp?iJ!x-Z>i2})jaUh(p8d0c@q}hc6gl+p0OuOrVMRbkc-x(ei zf3{DP+HdbpShD*mdB3t{Azs+<3MOtpp4`6j$?YpIUpRNw+Ve!Ko7=;xb|Ll%rb1F7 z>*K(Nt{RrF|Bvtp5%IM*yy3>PuRD9~&AWTs|LSAEQ3L$=_rLS%%Z|PN>=oK4tWjl3 z8yljuQRRWA9H-s_UkITxYr4C&+1=k=SK4tsH2BB=TG$LIese z*lkFA{JAMfCRKxGesziUT_Md^@vifJb9?y6Qy1^N??r&N@-40An5+Y#@?mPM+}_gZ zy%M3kxoUO_DG@JcGk7F|ciwW@9dEqqrt3}vQm?e$OJT`*UR*HA6fYu$wIbX&ZwO6E z>L}v8<5cwkfFR(7jUn;jogg6r0S9XQs%lAsnf>8J3#&b|HCEe(i^4ePNduJun#MPc zv&L9Uz0#&Dq-_pQ#W69GMM7c;3b%ii^y0&V$;FM~v*)&AYMZodZWslmMsraAfS� zsV2)nMG$DeJymK;x63ps(K$5ta)@LhOrFaCXH)qkwC}q?Jxqc+K1D!F@3g}i5nSWT z!Ybf$j#c(HsT$qqws%L5Jayq?_r4Gc2-REJz3#h16`2~KCjd8vQx8!%biCaXi9Y7g zKFN}-t}{pZnIHS|aT7Dv3Y-D5a+ptUUR%eM!Wiel^n6<#SShT&=5TBPxwZ!pMl~?- zWk(jmxtfp=8_yOcO)!$<5aZbivix)XyfGm|u-CHyYEHmo$3C4PC8nDp#-j@YfCqu& zYjAF`!iYAbODQTSIP(jxk6~j1B5-0LAh6WSGVf!=Z`&%D4G@ADQxHNNiRKJw{TYbJ zUL_lojL<+TR z`dvg-JxM>%xCQ}^FAr+KoyiCf=e916m>HIPF}D+8rH3IXBYg`?8lXu?WJ3g8>LDOl zD2Pl*AQR3dAIm+=f<3}@z}`ENr?yZ4ESXO}W;H zPRu5O(Oy55{NP3Wv9*=W&GozQzNaXAr%zpW>s#M^#T93aHNs*HtSm3Ng@vLhY+*;E z;U9kFkDZfV^%tIDWeJuB@I&7P!!hg);S-++r}fc3q95N01q?XY#&|UqOBe%$Xbfd8 zIu#C4pzCmU6^czgZ624Ns|?1RsX2FUI9}~-?;RDswlq~OJNs1<1?9Q7NV)d0J(eNj zE0}otbyX5R!Sm|(#A3;XbAdv#02aMNnMs!@RSIEiSiA>k<+=7 zU0t^bV3sTt>BB@lO0-KyVOunRsC1Q`=F1>>=kNK_b053+g*@PFYVJH2Z3Oh%F|f3A zyNbd($A+j^k_c$&L*!EpGaG`&!P@F# zT{{-8DlrDsd60-5k9 z3lZDD&7@D1nPu9nJ!x}Ra+PJstq&R=Od@-ej%vYu87lXZxk#&keZteKPGQlkVjb70 zs>gXxh|KJo20)y5pZn7D%zW4VFC~$B+pp0LvzrnpU^n_Ihs(3qs?1XZ&rHP9!3+Qy zp^ZDluL1MsvYELI@g@+77*7M@*^}g))nJ-*DEhcHtWF-Wi8W={`K2X^7hWA$ z#l&H(Od%CJWsrG^XEOlib`kK*0HKE6*bw<_qF=F9O+Z@lBqkac+q?Y-zP$L$pBexH zn3zDB&$L?UKq{IG|D*NA8xuG_fTa>dILw0plbN`!z)J`VM8Jb2gsRjmJiWu*@|2;j zAs@DBJX{8dA_O7q6;vP&p`+4zwp3_!(5 z{+JA}ICGh284ky`aQpjDpFjUn*|S$(ae8HS<+^LHM=StvQq>PU_|PMdK2}w=cYYcF za!&$13jj+Cu(Ak$^RL1F0bJaKkAAj$+t3ssw!zVFELxM~5Xq5?0Fm>~1bzV1n`N7_ z3R$elEIZuZ>^ti_O9xNH(KxMDT|DSO1?3}TW=Mli#LInD~A~OF$^QyW=h}bvF8f(*Gm_dtYxlJ*X$a6)f zh^B^lZk$iTO+?(@9Ra|_&7mZ>P#79Rn++Tf$jKljp?JBCGs9G!!YKqerv^j(YEp?w z$NnGp;OME6e5sSEeAKMqQ~lsR8TdLSIN?^wDi2tM*?Xn+5Vm#>E^hAs(<2<(}$QYU6(6;VZL#mg*D|a-qG1KJQZ&J!@vEu6Gs=1uPx~jrD?opUL5qa>xUVv z)qJiX3s#mi=-pWb#4zZWjq}zR03mAX+HpuPK`*UvTtu8_5OAImaKdhTcQl^Vlgh=@ z7dprNUeP#TSk&1#GIMe zw}vmgw0$tHy=N0c9tvx?6}dk z$QX_GBvs@)&(>n120314&atK$B7%>&Uo*9u##=+ylHy$FJfc+2Bf{q%c_rg@F z^r1B840{^4Se(zr&O5esLMYm6HH-90IdEYFsco9c3V>frb#u4M>=5BZ_Fm=`ujI{k zB6Gu#bMNUa$ez7b^)m;~ukVbvCNgpE_|lR!Mo+~{Whz<{0(bhzLRD2D*favvIy(`7 zLuR1NJceMdSKieaat#;OIM2o!{Y+bU|+J_Zmt)`#U@Xs!Q&@BZO$dDnlYKVx%q^TYq{!}osiGpXG@34HaX{>m=O6q_%q z#8ZG2dFvQUs>ec~1^-03TgckKFcJj0IEE7g%?ZYtDl!I1IoTA)>>c@Utlso(NCpxD zePvq%`r*(EEW1x4EY@Q~0N#rwoI-oxgqa=t(iTepduGpGmz?b}GxJgpSjZSlNCIe# zW%2Qylo*lD7?TaJJz@c2XXF83x5f~~6VDKcEpBtsF=7#&NXq7rj%5)u?+IT48;!vD z0K8-8J$qj|@hq;X%K}HkU1QB({^f%Jrr%$@u)Z;wOaOqJdJ$hBg5@Rf9;ym7tPK%} zVC@L(4Pg#993qD!F>H;7aZ)A`Mnp1{RhPCVx%{q7D}R2w8bmp4VG$94o%Nj+gJ&1a zXySJEtB9ZD#*uRbF)g!mXJ)t0E139FA1_TV2e=%{8~>AfRHyqds?zsh+!ThiDL{8z zz0!=)RRh%VWej-^4jzR>dT-VZtMxM!T*vrr4Rhb zZOn4hYfh^i!w`)o&C+5Y0D8T`P#Xtf3`mM|Ca_46+W}!vwpbsawZ=KojIhEQM6Bw@ zGfN`^P}k1KW{0YFqj9~nH`W8ci@|fcU0H+9alc>aNK*hC=NrdGVavj*Y<*HS9Nd}I zE3LZCJhO8`2*XiDMAqP-Unb=P06g`~`tvVsrxFt-WvvO+vZj11Vjx6Dm_3;gg7?e< zEMjB-pEVS-5h0b?suVaWV`C#Uq zYeQXkhR}KMYc4F+b+f%Ue*7!zsfIB3O}3UqqK<_w6x^Y_Tu)egy&WcL$Z4pVflCUW z4ab8NsE3!T&*;GJjMbKxeYjnU7qT0wg~*3VFJ>dyvSaG(NtK9(mI2JDt`YI#-W~v~ z^!p$J(u6DrxpkRRtMB_1nqP107-xr-%K!(kqx>xMWMSD@PE({Ho#&4z~vqQGXo3D zN}mQ&3>$7cn3TRX1_2#=X4tKiMX8s2jffaB8hiwqNj(e!nSEHL2mqFQA_7aL>y_n! zc63i9?HQ6?%K*?wellvk{xNHl+4E)od{v=qN@V!&f3U6*Rt7Ms0MP+aRn>&Ht{Y9r z6oK`PEf#Q%W6y-R41WS;xXgMzV6UDc@C?8E33z55U@_KNDML&c(j^F?D1sBYHQ%-Z zVui)+-8YrS*VRr)TV@nK6Ivm=-pcKZ0Sylin(ax`kyqt_A>Ql3a+B9n$5&TBXTPQe z>6MTFls;A$I4;CkJMTR61gxQjevuYUX7OH#P&jP5dR6Hr$_#}i7xT|GMyjqo5^~LT z-6IB5%UzO~7ZCH+endDn(=djpDrR0N@)><_! z5ylK1x8l((oE8Z{(6cwu+NDcpa9;=8yMVCsp`HE9Up@cQh3yaD_1MDdv8g;)$TDlE z^oz6ZpN{Ed=Iiyb=DZH*wvje*fp@&&%sbw6^^Mn_QV6v$D0lbAYb%R&4o0!2$h3bP*=|QhYO`{TVXd17tpRs0VYrMI8&>l|W311PV z5U@rX8xR5KnNTB%ZNFFQX-$1Cx;$DXu0bYMqlsfhVG4^H39JyaZhYhX^XInr_Q&mI zkecKGQjVTiA{;@yXuSk7tK2*gZ`9Ugq$p>tNC>q?(rP_5ECRAF=-?|7@yvym^kS5= z6B38xU*o(rp|&H9yj6WzSOP=~WM5S)&RDb6C`vM5YX zMRY+Aceti@j=uJ=;l^RYi4G={uTdI&n2j$#2g)T>#Z#Gx*`PBZtl2v;OY@pW$%nU0 z>;CPGw?xWK>N2u39s|J6c)Z#lh)BOI(l~;U7}$g+BS|x>q>0yp^OOi4)7F6ytu-2v zPKYc}5U#9lsC(>*XV(75-(Q78EPx_Ylt8O6lFp(MR!5uz4)Cb-2U5TRc*H-u`@TQB z`#ur)=G)(L$J=jx?Q3t)GU^-7p1tAh*&q7BAA0QZ$AA7;{}HBYhFD(2X_no3mNI>D z1T@#ILZ1Go$S?$}i)d^a0IW}t5e<<5UKlYVTpY`(1p`&u|aK2#NenbZ{m4I4o;!y)%@jObQ+ z5KZHu{R+_N#4ZdV(-Q$KYzYX9Jp_TpGWfq(cvyjm>{ft?wRcnJz@+#00N(pE{`_zx zD2oH6U;X)g?_q22O&hxpZ&o)B`Ut>8;G7up4j4gzz4KBx41&)2o^dPqiGC5-UhhEx zq%rW{{aPQ0011}Ed7~A|gy^-<6PD5>Fc#4nYtvyEr}PnsFmGvqEDCT14d z+t^(uxPD;9mD}ERhb=}~&RRS1L+Q@_7}KZlU;K+deg$QIeSN%U?YX1<7rpno0jCfv zwbqrTrK}lCQ-}4hd?dF#YX=opwHx$`(WLH|g#c7lbADrgeQO_6)pa%wP1hLZWflmD zy-R9O$yCDHIKXMz6K!(>Q~et4qHd@S6Ud{1q}BXpIhyg?VisG#D|)|b&xlU{InqTG zN;kAOgxRx*G|q|e-GA}qzq#{atq1fB%-{hE3;l%^lj)zPlUyZ*z+DQ3}{p^w2B!tE%8LMarV7$TuPZ0xm z5IbN_m_;q5Dge#O^8%;NA^9PEeMsPnFIozt)#Q!vl>&9+^jwjI%aElOS`B^{Z3$tm zECG?mc@e(%!57Y596s{QUb;vyqcNt$!BdURdGhWQBAjyoiF_Bf@7UEQr|4gf^*-`9ka~W z)AG{jU{F`z^=*@P-a76NU}0ea!E9{T+uPN~CR7!8`wr>9j&-dS)*XH_aR)UC3ji+r zj~!Wjt|-73U=8$paBdxb>i3RHKEb81vgJ2dc5yCntcAi0rI@Ee$+}RrqN7ee)5Ms3wbS0Q=HiG0tX=ezV zH6&3x70oEylzEKV!j$?BU`p5!Svt=l88@pgl2madu{lYv`BbKWgdzMjy2^rPTKfnE zVk`uMi1YsLzj)Gn|B<^MVTRDnF0lwFKCk8FWozx!-aobZOl7;y-KX=GjcL4rNJKyV z!*6^2jh6#J-FQM8^ozW>frzwUhKN+BtA88EvSN&4HErety#!{5@63UKbUJ5XR(IY0 zu-e=ij>fe<4?{Sv8f|aUlx{833|(vS!l-Mnb#D5qOe){&6@z|XfKDD?IdOFP^odo^ zUWLfIpzF75%ZYlGtTh_x`s|BaRoy5PkTUpUEHN@`%^NDQADSZom<80pBtX#~8oD#E zjV=yCh!|ANnLHyORj3RppE;yd=@eR=xDL;(wp7(DdC%6Gbo|irIn5J{`(aOER*W(8HFLY`=6-wn(1YB@qBF*1q-*DJq34kQ>ZZ%zeBG(4s*8f^#_65n zJgasn6!VIvAEJn8+_f5c2}$b@V_+W?g(0M}SN{Eve8JkH?W6yiOcBJ7=$ z;l;XcuKy(Uo$KTPgRr4Bf#eT8YkCF%fZd5A z_?aH-?(;u6zz|xO9;|q&hdws1h}w%Cvp{e0NLls@TNFhx@n*lq#(Q0V>QoC72_FPF z-dDR`YDj@@j0PZLQIybe|4x&q>TKB@RpWn7|;^;xY*IQg%D0?Nd zG_~8?AMfr>Hn(JZ2gVcd<{ePJ%EQ1pKN{77rq2(ReBbH{SOaAVi;M8^Q~m$#lgE;C zAUztdG9sH%6o5=e+q+C=#|!5BE+h3N)Ipe zO96QP+}8dRpt zFQ!x@i?FnM#9BLxiStJLOE6n4U)60kBBG!E;ahLM{xU-Fv5R|gp$C9vVYIHyIS&9u zQBdly#`Ywf-{Em{lJuhKFju1&(v5^cv0{(K<*m z=JV4iScL_`!qC#E{6XzcxLNzvw9Eyn#IcU!Q*r}qvIhc6HR+yfJF{UhvjU}&V zo0g62J`nHR&%gK0%-%Il51c`&q+RiznZ2&S-sz8ms&)Yrvz}(HHk%Zs)qBud+wb>& z^21-SMzdP+<)ARkdqrZ1WRe0Q9QTVh0SOPQM8oc^QJ3JH-arNJO-}5iN1kay?rU}1fX$eLXFa-bllTemW zmQXCNn*K5wLumGE+^7hrsYD4;ZhcCDytyqAvij(~3NDUidROZT@BrZ2HA)5#5hl0a zjCOwD+s9X522XqiSim#9cpm=v&wvo@La(re9V{*`t}y$u?2Q_;JrN?BG>sRAZqSha z_gG(+OGva%QbZ$}q9~^>dgxY~fQa`_BaIVPpHSwtYn0ONiSU+d;IF-7=gf(TP7wu! znX5^)wLQGJ!NVbJ??6=p+dHbNG5+48M__pgdOhfs@Yx4ee*Lq{IxT^0n~BI5@k1meuFA}kRg2EegQc85pBAM2S(lEZn z)Fw)`7SKOC6pBm?^F%kBGwsYzB3?bTy5MgmpK6h^W{Kj4$ z)~nqXln{rSx&n7D(~#tE;O;Q5a+9 z-pI2w8)QYw?f=J>{atT7^JCw8t04mj&WrL)i-TTK7(-MwuHP%Fs$rIXukcX@5Xegi zVb1}{NnVc)sYNV>_yR!F_^J+1rEXkNn62%Do&E8oa?~z-NjboX;2k4rIBxJIDBmgF z;8-G>Ollz?C07*naR7+UYEef$@l;9M zEIB%jCu0J3mQ6dOfbU8qI<)aTidFaB7{E6@`Zx@8s}3PG*d0a;zf4kFw;-(}CY}d~ zWo9ocUdfcw;FEyG4Yx;5{o5)TZ_Q5p25=sK8QmKdI|ERZfd{u|%_J#W0_ zb*E09)F-Imcw=+({Dq4F9A_*y0v_v&CjKg76Op6+(Jfb>cQsTMcn$=!u?wylA;7Dz zhOIsL%8T&WbH)sgP;VL00OEcH>ywTFOAQhTaD0FWpaH-V0|GngUh&`Ei2x*g+@WIx z;A9_G`oZ_61A%XO?FI6CO%2Wg0~Ezk=X}%bUVaKLY{IcM_^ppZv9M4SMX|726g^|i zeuW!j4l}FhFVA1-fQ)kJTs2rDL5`KD*@Vq+nu5MXYFGLx!#?%a0F2f)0e z4IrgNg)gV*M(>7K@Y4G3AARBhj{4w~awK1a`9iq?O(-u7EFU?RsA8CBPMXVg zCB?!!-f;RIZ@TK{>(4mHMPWr`&@VMjOaL_SL50*!U3*EocTgXQ3wy9jjT>}cc~E0d-2{k z&JX&9_u^TswTlY_&v^3q3dAFpUQxynh%7AhPM=t@hIaSIFJ07H^$^(`9f9CIC;3fq zDaB)+N-3Jg^?HRe)6T1ilf9S1nvi@H-(eFYGQ1Zp5DD?y9E9c&Yh_fzST~-q<)u_? zpy)2)G(=PnfAp<}x4=24gz@&?=+URw6$;O)V5dY0^Ko7l#*|kUbN3?$#I16D1{BYx z0_Osr95T)pGG9VIG3_p&r#9|ve1|-dIeOxk1H^OL&Xy$Z_L#s-cS-)Fj4_3^3unB8 zf(S2;0p|6nGqZEeFMQ9fBEG5`GSoEA8j7Kop|6KD6H}REk#HpgvWPXtIqvm}x^dRp zval>rSbI?We{$EuhD`T0?<`R{Y29|$C)p_g2%O|aiw0q?t#SSzr!9_Cq@B$2cOn9R z{rkTA-QV(#qA24X6wu$pk3RO_{_F?RDy^i*(VndI0}Y}IinZSUEm!Y5r+0c=UTqAN zB^+IY)2HCx2l2t@jze!*3&jy}PlXVf0xy58C;B1Et$m@U#Mo-Y1KF+M;)o$H&<>1` z9PgvH*@=kv?r3lLhO5>m6KEQ6K1}CDf(vbJ6$S%%=xKQT{Lx}z%^0iWue}O3CYQQQ zb7X8yK}3iqqg7|Xu?Qek)i`6AF{{)`mqKijBqAbfj4@V+#jm@{{nfW^uPkmfb?xFo z)H!ZyV20oR*fn>5wT}Qb`^vfeC>ZMIqOigHFxY{4>~AMnrkk)fpA?=ssY&t;0}MBJ zmkBQKnZ1KL#DRB>1SwRQxoyylT8QZ-C&$YAiPX)MdZgtQp7`sIBo>h?&Brf0wW@2r zF~pt)q-nfrE15-Uvq|kXw}+!~qx1KmVSvtR5<0dJ@Ysx17HHmMd?5%^71zncA|jh)`IoVANU@ zUv?-Axv0v8_SOx@34Mz>hw8P15J^+D1&AYM*tvRGK-x z!uh2eEB7R5ixKL&sT*evG4qqpZannlMp8#4q9sR-xg0swe9t1b!+77MH{ev6IKwD+ zR0s2*;S>hVECKVoW)5@N&B4T($1dlIu~W{ghRd!3WTw5mMd~>bJk?TIYRTyKpIL{YoT;J$nDA`0-<{ln6jXe(6KM{)eCaWO_?qz1qTRmf$4t%r~4mICW&wGvFFP1TtU@ z6a^Ry@4f?m@BZf?| zs*m+TX@XN^MYm;Km<96u$%Id&BTB|!`?Xgv@z)tDKIQ*!X72aw%2I!Ep_ft} zLdFvzB0m4p_IT2yE7gTI#w=DJBK`=+N}M7Fx*#>e+??~vQX?`F8lsH}rX)gH++gTz zObU&5am(~cTKI(6*3hJLA*aUppcs~|o#Ds-{NXP={G4WHNd|>yCV-tkX6t0O>2^yW zybr=+{hEH?E-bI908#g_DPiclZaMR=x4!B%SD!G38s|k|d9jza6ZLTuqA>%qD+>uwYHN3te5lng z)!ue6vA*MVY{f|70t+1ymMIZEQ0Cpjlh1CfZzvZUi~ zZ9%K#(oUMmi3OPXZP#3O^VO%=dp(%x1S5%y5G43P1=2(%j$8l`4N=p0TbQ=z7O>wd z|MnF zvRDQWtJaq?^O+U5wpcIq#wV7eK@j2i$)yi}{-`0v?%|r>tE7d+hbULnhS={dA|gu) zzn3gGFR8;(XH$)xur?KSoycR9D8x+L zjhy}- z4WrP{|IClxcKxePP&~R}%oxO)GqmLTU?!r+Z1)=DSy6cOq-rKw!sTHR*QcL`NYcvv1KpXT+ly9J5dyyOWtbe1fYnV=(iaJAhKMF_xxku_Lin`!mPS{ zUC{IxsgZ{4xs^GEZf6mRg9`y;L#~B2#N3V-seAL{jb zWm)PA;o0j<=Anlk`RNb*oGr`D_1IpLQXyt`%FY?BBkcou=k0I3=9;VD z_0HQ1TL7ZI4~X!KzxqoLefj?E3t_(wKYXc_Jj)G{tT7bQKUyA7AMjUq^JMI4h?TcB zogBb&FGeBdVkBt2lhBJK=FOFNajDGf5PdxK-$q9P0_nw`w7XTt-ttDe9YL}H> z-LGJM0++zV`f+N2N0)m2-a?$6a7?X~bmAZ)LZgu;&O2dd@%iZ}=RSjTFXMWQF&mSf zihmSdYfd(66ltcu88>wkdvIIDNgPkfl(BvGTA7E5FQHMC?2;Po4v&dHTF|&^nkGz4 zCByykU>W*fqPrDRr{?U`mN~CA70lZ^;$%UE6FM5T=9M11ueXmrYy#wI1F%1=_J`G= zR}_WW-W^Gnl@?%bGFQ%Ap{=fXGP0deROBI#>F z;5vp1ctX90U;2r^_3k&_eD%@Q1!Iso=n#6P6_J&tzEXgZb`MZe?{WqRsR&x~gs2h1 zx{qRHF7;WbW{s+90Feks(ijHUwYr9U#pvo(<{Vine2@UWgEDW|b;iOLgthBvd5H=qUn75c$@`EY(i0aRe0s+@@4e3vf)X~f#mLxYB@a{R&ix$D z7}|sJ`2+3t5kz1R6GHw%^-oKHrhr_45SuMbh(46-*6%P`^!t%CPpMB!-C;I*V@#DvqmX-&D0U4tYo#qw`!SZhRXPSSm%t z=NT0Gk_QF=TKgo&llO8Tmiq((yAywL6#ROd6#|%@h5+!yK%c2yED53lKLFxPpZwL` zsfwvXKZdRtG(=QUIAajo8ESKd)3;h36~py1^0sxTx&D|Bk*Yr(Q%kHou6eJ9N zh%zM~3I|?Dh2~K$l8HY%yjKQRpq1xk`yU}%8@xu1dj%7Jy?qc=Q=>@z!=!Ew##NYu zw=^hfK4ObyyW-iv-Gbywq)z2wx{|OHnArNaLzQi;(~Ko|>4=@|aT*lf3MT-j9pfo# z$}=D|O{1-+JA0!?pE~!4cYZPK1|f~L1BKJ(7F7rx{X;qx;4CeW;qq)9=p0P-Hq+`# zW8lYs@LgA5e(budPpY+VWoa;}8vtAwSm$NXv$1A44V?fH&>*+XN=OuwZV^LxEdXIC zp3t`@ncJ$`8KNc@TNpz-d*hAmA!&m=V5<30)<8LC-37f*pg3hh=c`QYSRwRYD^A!s zR!TTDr(|Jsc@%V%BNKo$-YaKT*AB^G3?u6v+XGFm505kF8M6?zzDvoJyO3EfRNfH6 zr!gRJW6&BC+LS1we`F+%V`_|7@z zhtyb~71FlLtN;KSBE1Opli`mgNwiQel`W?M0872}lA)4^+anR-_uPKR-f*}#+~3^V z>i5c(mDRPiwdLhyGNvf3&XI4t@$4V`-oGTGM;>|Xr$6vdO;O~o-=wCV0he6`LC)FF z9GM_H#I_2BFx2zE`CGrcywX2@Z1svOt_A?_-7~vUJ)a4t7y$t{svJ`a0$iN<48LPu zQZm;eO<8FOOKud2;at&Sg;5Ha638mC$Lo)OlbY<d+-5f8RI1;(DwEGtkDa*teQ4`mRmmq{z|pL6j?Slr$L0hCM;u5J1RIM2o;E>JL@ z16v#};+YY|dEVJQc;u-U|Lvz9RQnVQ3p0QO_2$f8A7xz;4J*$RTPLSbZzn4#_h`%@ z=0wJS>+RQn>+LuGKkU7Eux!~?-nrJ=d!Nj_dGCE=y_%$=RH{iTNfts9h(U7;1ZqIC z+uaP|hVBLgG~FiJ1caN$I2_>sT4BO=Gd7dm;C2Kc3<7MBkP2%m5NIq)bE#D08}F2P zGxMCY_gekO+IydUGV|W|Bxr+(mr_)`x^-XPb5EYL&)VPm*6(}H-M4D3TUla(r1aqS zc&OfhL1h}x&T7&_kjUuNqH(Vl+&{}^K`IlX2hOX5znf>9TIBU9ECb&utbEC8xeVkGntR`m795L z%w?AsZ9rmi%)%a=MVq%iMI8v_qqwO1{os~1PBY-k}PGuEm>BVzqn%9 zh5ktOQhm9;L|#`};xTE-S6^B1$da`1OC2BFxP(ZPW9cp#?7jMPQI zUAL!kDE{o@k3YOuR5@6nqKmy@3XX=N%?(S9$Fi{>dCb^*FkzYrF=U|wv=!7kfj;3O zhqIQQ1po;mxHZ77fdPR11z((%F>)({Vkf+v22&KkVYXCyx;TFBJjn z{3{@WNACslg)+Icd(Pt1Hf-DS!L-&bO>D^uu=M(4B9Q@KLI)9HiGW(}X;%wQ-B-*YPMQeLMP|31`r5~>>V6F^vNgR@{SLNpsv;~pNmzS35BHB zH9ev1Q5BOR{lO7j?Ko#SrypHi2z>wN55E36ci*CHyXmY(r13_ama80T7+jWK7(oOo zYt-owL`2vc6VzXr4n5}mG9W3?S5lI8q${*OEEZYO3(xb?u3jaA7%z$CJKuP2T3W}#LPO!mT^ZKQNbZPK#W05XkPt{RHikW zcZ#T`e5!0wR@lusO@#1m6zjkUU8VPO_R0wP09z|3qZ1mKQckJy`nUlUrf z<|}=Td2MCcskx=Cez8KOBXjrqw(g} z*|TRi#v9gH>zwv;Uj6DTt8xjOc(%0;P-{pFh~84|T$^018VQqDXi6R)yOGf`Tcr&MLdI0W6|SDwr!0F z^F<2)RW&qZk+5x>=tztOFM)K8spxCa*}KCi>B+!?B3#!sB5V(0 zCAl?FGzVT<#N(#bC;rNfFDtl`E`WnsL?)N_whi7pGP?)$wAG)U^XC9bKd5Y?>}}KX zuDrZdnftD;BsCNnS*bWuAHR*4mS=U=Xsa6#z|eJ__zUXQ-@^hdtpw3I&}|yE8UbG3 z(WM@t6phw6pQ_e3LCAR!J-fvbf=`}C%Eh{XEKO-pw9KY#age~gcX0U7r=EPv+drhS z3;^7E0bvkPR7Uz{2pnYrJrF~{+}8&1$Y*7DBlVSFE%+#hVF0}LrO$r#S3K+9J8n|6 zLhFMuhEYaCXPq4loc>+!*@qYyp%o+{G6Eq6U1%fNi}alNHdM}N(t0F16N*UNhFs;( z7LAs4)|yK@limH9B6W=+bt!bBFCj8$4U8sQEb_A?36}|1)F}v%KOiDh=DH!H9~U73 zu?RqrM6iSCjE!P&RG@V(4FF6G5CI~D07TTZ-VnIT5z+QWmCZE>nj@n?WtM(SL_Z)V zUXn3DE{SkHut`CodL*i{XXms+CyJ&aAa>~`QBAj`?TF~%2F*q1wp9eZJn*5%{@{Vf z^R#kR*+FHUv+9@7i~<%WP{T@ks&wH1*}>E6OqXf1YjP0R`H8cUcUdGpwsu^F{KM5s z(jKC?5MGX&U|Z3F*GvaO-wBPYcjoHk-`dEW&wrg1xLVRj?-xWw#FHaqj5#rso+2f( zzYr0*FarQNcVYv|@LB144cQN}yrTex$wan~-af{lv!vH38u+PqeO#H0>nyt@+KGD`93#(C&utAK-E2uSZxyOD?_Z}%O0lAHT?oXEdcY$dgA(?|^< z&JB#Q;zKbLD4LfMl@wgcafzQx=4l|Jvrb7QMSYlll};~1MB`kg-i(xTDcb`8=q3f_ zYW*l#s*H;}nneyS?VcsMWn>n0*gf!M64ki3=PBcNQVB1(w7fwXRZy5`ijdUa$Cecf zGO@Z>Dz<*z7!ZMBg^1T{;xDj*SO)MocXu9^%}nfD_*A$cKwqri-L5);NFdMkQ^Xo9 zi@_y`0grq>M)XNuF(F5PbWy!&W?CNj;KRRn|A(~BAmXMKLlQ#V#CtC+P&x1+chb8Z zc_d4uFvi^7Nztim?$17w5S9?*i1d^H`0t)MwRQH?n3)04dp1NwI2u$|Bgzm46|tzR z7_FhSsa0tc1<)vOSWyxx---kl0CAQyEX{|Y6X+VQXAR93t-28|UYU9R4-xH-y@-o`-}rz@A%e?OTT~$5I}bPl1ke#8NXFbq z-Oph2Vnxb!;lP3j&Ke@rNj5_m0&6J=1aVne$KotqWg*1py;c&IC|fSt)@#0r z4)VwCN0%Lwlz0I`L;~+6iNTsBrtmBVk+y9vU70-i$@Bm5y^nz?Swb{=jtE7ZFt@Ew zk2WC$pMnjgV39~pq)W}+j!aZQu#Wc-FPU+3t#w=|-P$j%%!cDSQ^68IaGfY9t8p0E zuOkapW=(Otj5%0`TI&m0a-{#^m}PN=<-GhRE8;q=oOx48CUdFrG_#*KD-n?m%Zr9j zZ4D*vsW@eZ=P0@CI*v6~Y{w($mMks;CgHRVa$sw1N6}i|HFR*fC2pNDDBz7DYn4YH zv1YnWWd=g7>jjaS&Sv|Q$N;;I1SBXR-nTd6!ISk+ z!~hr)OJs$zDWJGa6R`8fQTNpg^CCvLb6<2%yK^H1fDIUe2)3mj- zRp4OAK+Hr8L`KcW3SomBswKs*FC2Sr0LEBDHVxfEp5`bK9NxDgn!(uxuL|&_KDKqx zw@9s#kVODsa(V9t1GjA0-TnD~6Uyw|{4_7s;vNby29Yh5*}Y6>z*R#rU61gD}U)t`SsUdkDC|@?K2Cd+2@Or91#GJ6AG4r*_rl`ItvI0KB5nn zhR|ebd$`ot%Ep~8o3S4P^}q)o{ttijVIme5BIVe#FwbgmR>KC%CNv>ZXrS+gb)moF|;vsjb|!cpsFcqA_EA7L~GWU z7Qq@75x9FKQ!GruhskP0vL=W(Hx zre8KypGij0b)+!XLMA#VK9j=KNT)0Gy+hzUA*thSA%rO0_Ue!BBk(@xAu)sZ0nprb z^C{(5T(~^ZPFO;eU@7FOEmD7xG#`Tf{+pC=Yhgh^#Y*V^gJw7*VjBL-D_UU%{VO6cht zNf>)3ovTi>^}U-b$l=vkiv9%KvZE=F)2;O%ujWgwbKl~z?uGT?j$KJ0$GbN^k0;UE z>AKagluzy+qoX)r;|j^TDAUWFxM?F>8&#>4=8dByj=Pk#Mgl47DQSIBdsF3%4=U3U z!jU?I^g72G!^Z$})7ev%lR?E~qJ-uFn1e#40D=ygFndE3LNlBD`Qq@x#q*ov@p$vZ z$rC3|oIEic43LPB1mwnZ=f3-m|HB)<^V_fN?7aW|AO87Y{C~)pToae)Oh7mU<{%>9 z`^Im2=AF04<~?%?P|TeYKtUh`kSCtF5@!AxgXxrEjQDu7WlUu)AX*fYM9fb1eddYm zqW}cl9wIDvK%_qk11jt0syAk`Le$U;#Zec^j4U&$+>d%qhiQ|N&eRupv&@_{2vv?t z2Z4x8YS-NyFaE%p$d z+^)MFkqkKo0WroBQWmb$b1B{fIV@Vo_%!EFpED2dg^Z25#+6D_TT-Yj2*C96-j>08 zH_T+(?9Bs_>53GL7@|Cs!J;kdxF=jLIz;j_u4gjGZUlq5*I2{kQ6_}e_r|#OvmKAr zSr6Vz2pIUfpZE*5D(?3!v0Ff~*aqciu@rryWs_6yAwuQud;M&hU}?`q=z53Qf-C|e zJNwg*e(I^;e)~r@^oY}q83?D*acK=z zOer)iTZ4l$1sQ9myd_ z36{Bil;+qlRrxI4kWfNUgHF@|h_yyU+5`z!xkRUT^(2R&NqEvU-UqLHpxuM{qZekc zd-=0QL)Ur+fpcdzz2`;U&K9jEB$vfB7Ng=&P}qo23b{9W$^nVcIpaO6aYYR(T|{Cr zgB(37A~~MAZNupkLu<)dV-1B6?z{8cFa7A(I7=V-_>=$SXWt#PYE~f`A%ysIg#`(% zF;(TjmCap@*O+wd{I$sl^_?Tn>b)91w_U7v{PHdugShjn)-CT& zblD>!!0tmy`H`{gAVZ%yzqi)t1xs5WCBZNwhC6P%c~A+F?(cF~60~iw7KDSU-QEXl zoiRitMC^T-FAgV%vx^rm3`q?LDO5Xh~gA?D;rCninoX48=n)7gEU>KtKf> z1_&sz4Fux*N82tI`zfV8c3#)iM9L!{`J1zzE&;kkv#^$7=A6E z!^mzI3F!S~Z*rrhr*GKVqTStZHKQO6kw{YIq+|YZ^wtF~_^~VVdDNrqg^&w-i(rIHN=hJe*0=o348&zR+GboZh;4WwLgm&mGP#JD7d+kqf{6ryuu?A57*cYO7n}Qw1rlhaA^qjFy&Q ztprA6r1elKGB6#Uv(UEM0ReU8=blJeT9wabV*mlZ=B4+&@+J2^`_5aisQ(agIB-N{ zQh=Wifsm{cu?1s06XS|LIo0<#7og-?C<$_GDo;bV_YH4p6DUjM?$barxk zJUOg2wqw%{wl{}$>#yt{>dKh#5vdMEcmJ=h1wL&)HOPjJaZ^>czjJ)#;k*`x4u=Dvd(N2<%kq zBcOCg%IZg&pYf2rSpi{GT z`i*2zW2Mo`Odp;?-cRU502f@=GZ3`1Of!wuvcz__n_XpLiI4=GW|o4HaE( zU_8MS5v*R^A_8zZA>7k>Ak?b%L> zO@T;ZhsGYUNW=7Ib%Q zZuPkN(9VZGe$ii^Gz2^vJ8l3MZ0fcv*-K%iYv@A=;9REzC_`HSn86TO3*M*e7{FK% zpO>Xwu_somO9mK|tidWN=Zp$>Y0bKB{}<7L}-0#@ZS1fem2@kaKpm7*2V}>Q7@I zg7+RkjG?CS?|bmcx4!Sm9C4(-K?vc$|37~BRWEwlfAiJPx#@=Ow)LZ-3qgF~LFH~f zccS%SGF{Y-r?~5&((sv#znD0KdbDwKqE@)*e`Y2!YJJg1W)RT4Wf9)os0^8{@j(9- zk=xcIm|eCh$T(u*lK&{G>iq@(s zD*|eW7XeH3{)aEFgH3lImR`~!_~895{-ADQXTRPa+hK*Fh515;mQchI*NqufEC2yy zb0Bk~$}tNVqLK5n#tN{t;5~~llJTKFIBX|}v&Wx!>csZucysIKn{TcvXN)0Zrqk(P zJoM3>ohz??)tA5ICC@*7`XqGpUMV)Rg}XyKqI)VTadL2Y)99^8U^GvI`J1W4vg!=R}@7g#iF2P95lH%TNl4 zsZgX;BLVJmTHAIBBKkanWhMm2`y7A@HrvG$aex&rHbrO>@d*B4p@K%57 zcq!{j01z6wdus1lI=pA!^LL(g_w(+)+6So_tO120X!(moCXwR+@gM$!SA6wL@6!h5XjrvAr~#A+2bC=! zgo;Ko$$*@zP5}uFhA5}#`M??i1&|F1!ot4gly?nv!x}_v3@z&R;Bc{bFjoeJR=`?l z5~(2-jWG(WP(+>j5=yJC*3cn@j3=Y?h^3-^nEl|LZy1pwq00KGja(TSLbU^`w5&t8 zMA)`o|CY6;2pWrlwQcLY_srqrpSkqGk6--Qqmv~QPZ?9p9RB$IPrmoTOU~JU@U{28 z{7dgJM5=RGLn3l=d*nS|**g>fO2OCA4~@+*olJAn*(U8{_>iU;1#(0%fSlMIj)o(N zH9C0T#?KC5P#H;LxwS?kn#mZ4XswBOEN2IU>a{O>_SbyXy$93zul~*l-tqpYKxLJH z0elFglwv~ajNFjBGkEP4o0LPc>*U*|#N$=#?KL(vuUZ2i!w+5yV#BM!<8T$2v`$qz zUPoSQsK9GqD35nslvI$ky*{V~gb3m6Fj62d%(_j*O{d4|Ut*5*^XNG0)ZLDCnUq37 zKp#RbUI(pNcrn$(%L zC?h9`5*SX5@o-*SH}Zi1g(K!%0F-9Pm0?EGHlFvvd*0n^clP$qpFh8~IX-#v`{>dpMd>mUQ$Rp$G^l63R5*nknj;|pOr#qjD@UV zFI5MWc-Y~MQ)%7}G#9=P>?8Cszh{|QsrosKbp8`9&r3X9jHOmHaI9dHJ^`f^U2eW} z0<6BJv%|^_;?y?k(6-5JV;?*SKd}!0a@aH*Rn>S802awhIL>@kjJT}0v# z2do@8hayl}^b8o=o(Krrn{qhExrM-cz628eBHXBwIJ$2R?u4 zKrb9hUFpKX#!>*~)732b$&eiaDX$@8WV*mP-S#icT~l+oJMgCfe&acvJfG@=?CBNtUGVEhNiQ6yfLtb7Ij-W zV~x>!iV_{35JHGlBxPyEr6aFw-DC`A6RM)tQq~%(-W)=llk*`Eq5xjFbg)02=V5IP za`S=JjFEvJoLWFSer}Bsi3ZXz&NU0XD(k=4A>o#WXk;65nbzpBFCH=H_S^5>$eJn&| ztsQRT_>@>=$qaNyX^agF2qXX-8`VuWp5{16 zTE_iihI$1S4jg}Vjtf38BM1@Hb^GwcPgz5^op|WniMJaA&Vh4aEf_oUgT=E0vp;F_6R^1PdkKj7jyDD%`SEnkNOm=VF89405R!aP zE$w`jH>}tSA`A>Z2m%_4IE&E$kkAM1E}}@R1e`;VXf@VjYw@~w;tTNgvE4fX?!4>N zW2c8tBEn!`jpy5LIrZpMyHH{blY%H9g+nq9!DYle_EnX{G4BW#Ek6Cg{+-XmSf~%k zfBBDp@xh1Q|8u|jucnItV5=?D%$vbnOyqn4k-&;AI1YL-sZOkgL2QKm=1T@;Z4NN+En((?T071D(oTN%Hgdh-D^l?H&YU2|T zYmh}2^A-T=#ye{OVSl=)>-Ng-Aw@KsdITwx?6f{0LY)Q)QR7rwDgl)_tGyhos6cmS z;wY=<>b>)hBgD{GDP|V>B+UN83rN5M2GLrL+W_^+b&Sp@%R2;h#Lg zY50sIPCb@)Sr|_KNFpL6f*3f*iqa(FcYo;oyFPSY1i$GucfR~f?;x$BDs%44*6#jH zOYK#DHj1uv7^jfCx=;{kG;+7!db(-brtwYdIe1dY8gR13$G}o!D5sBWQk_JHAy$!$(*zqHS@d z-p}`u4>+miDP?MZm<0+qWUvnz-I7bykm9lac0E1@%aMmEe z{^0@>wkQ2)7U5@_3zHg67&v$G#!dUf-#0nAy$C@T3)r80wBGsXqQ1MXXa3ad+GY*_ zh%OBxBO=Ua^YP|5Fc=67RM!E4nwAj}kw*g%vCael=LM|M=y*m9hKM<&JRRwBppZ)6 zr|uYZ0zoY%`Gp{p^Y3AI&z0TDCVXVuyk~m|fM6{+2cwal%ufE!{Wt#pN39(&aU6ja zVNPdtDHttp-AdbbLVrS@0?*TaIcl@8sVj$pCEK%dDcu<`(!_@3)U(bel85}DvrI@Y zy#xvubvZ}S&G&WhRx%MfA9GQ8=Z828qi}hg#q=O_aESr~)Q3AFAk5|ml^X(pa|0bl zWahcY{e>WsG32bBH?`NmCPYJ+a*&Q|!U#wvtnD2vc56>aX?m{kNc6(LWC?Yp&!fIU zY>m-Oq77+{C(GKDImHkFh@&!y2+kp*SQ~w$-giQ^H98W5Ai^w$ga!9EhBMFj(?9*@ z>s96#>)bC;`;V|-}%Dy=sb21IR#wm^p$)Q%7sekl<>Iy47df*;C^)r^cg!RS$I2dP7(@ zzHNQocxE<)0HR32;t>RfbPJ?G13GvFnsA#b79p^)W;Cek#*0YZw7NBFn)c$Qy(cg3 zzV(66K60@h4k~M{y85!-%B;*{0a&l89;p+rRCp3?D?Oz+>%ptQ+GUqT`s?WlFKe9% zvO1S~HJx}>FixMfS=}Zr{et<2a`9S{b(ekxxw_A}`_7|u;!?L>I&#&CCmS3b6~@f? zIyZ#WiwJca{`aqcv9qSTBf1KQ1whAD^QdySkwvJR007n+L&Or<-h@Pk9=mk#Q}6nu zF+_lp4&cW6@(>0@^nKq}Kkr$7I2sMAipY2`i@Mp}o1MS7m>j_FKKK@dZWnVCjh`31 z*YPm*K3VIGF_5w`lsj8{vPO&nAfxb~mK_H+)-r<#hoCvPaK|%m?T}b$t~43Sgmt>s zhuAn`7JyLi&-XsI*m;ZkhKXP}fU{>{dkY2wsGNDvgSY>iKRIQr1px28_aRzCyiY4_ zFW#>F1aBC^_MlrJq31E_{LKj2wb6xe_J#pd@*Au``j#lsSr6_h%quEyADPp)-6Jh4 z6CJ4pmL%bFFs`qtO5azra-0xplLBS59u{vH|F$7ZWSp%oOr`N&F?@kppV2x>)cDpj zuQjohso&Gfd*>{kaJHU1kaT8Ow3?wRO{6I8NCKUP6(G47Tl?X+O zhkldBsWNNVLa$Q*0B2FlWkb-U(fmaNh*&vjS}c|sVP?NM*a;|}f8>oneqAU2!gd!w zI=Si5$xXMPxbU>?$5`YUPd_uAHJ7eTddo=}y&=m{Kw7S_NK31F@LvtPTAw}7d(M|U z=h&;K!+u!^5zyAw%B)$z{8(hoPLN|P9$Vi@<1AOhvp8a(%f9~CP+=MB%!x-{D zxXNe|&&<>gJ&UKVFLI}nf{m_FKYboYhCEYHOjN@HV2UgNZ%WQ!r(-{k> z59IRhbZ2kYv~5$@)|iK%nh%H0TGB8VopjOa!K3z(@pG1nk1$iNbroOh(g3|)@lmDl zn!Jx=2EL9x{<5IPYx#?1rM}h#+^5N`?jK60^|hR!)d&*(Vnbreqe!Rx{48%j1#d-`Sn-9l#@K6D$A#AOJ~3K~&DpBa6i&&E*FI zKD})hwEcC=&2Uh)ZG%YGk}=|()p_E|urcHrL*QX0z7>Ra!wDIGSUdG}#pW2Z07ik2 zg&8>6b>gljMCgo&eEQ)>KK{X9x#u=Ma}ufw#v3pi!o~({j-je>IzRP0_uur6M~222 z>uh$TsWWQLj;=!@b(h6r-C5HqS+b;Y zBNixoxJ;hSwweH$Jj|;}>n8KQY)nv3ck$J$Yfj zY`LyJFm(m5>8=Gmtu^ES5}G!mG3L4VeF-zaJbDhOZs5ob)Cs}p9QCb~et zvshF;7yx8{vLHhm(Ry&W*xjGiZBX5v)F@0SA&ns-D5oL|gM=6o^(W5|cQumIUvlFO z1OzoNgOYn&nZZODIC4zOm`v`;C3`B^?-6-Kd?`Mj>eE=0KH;#jU$#eN<~ zxav_UFW|mUG%lGX>p1_xhtO3A1&FM;<8V1{R)7#aOBc|kf*hc;CIoiQz30=PB{B#x zz6=oojJfsnVOThKXsiW54&M73Nt_*6XPmR6jq#~d)vdLkOzPde*_EC7&JOJEJys+5 z>95enS6cV{#bV~$#c*URr^#fDM2y@tVK^KT05Y|~3@uORP*sK?G=V}0%&?f(9GKI` zoA24)|3V0X-~GU!{oWtHvvST>H-F?xH5v__b3|mUeeoUe(8VzWUYt&d$hp|N^WH~g zSs=NP`#T!RKsEq?XP(63{PyuF64KaQZqQL0GV3n+v`_6NTmS%~Da7DxX$~poFEb?+ z&Ys;8C4QPQf|%1cgF%E8+bWO-E~P&{nL43ZbQC}m$*ml->pTz$O9qTQ4Nx#EdbkXI zXG3<{K+S`l`E+32qHX7Gqi1I1Dntw%Dq{olYC&9T(UZ%2=Pcg3Vde|JH*d;8-+mNE zv_3H}`=rX7TB7GorEhfj2}B^0%zl+l3j-|`1{D}W7GMG2A_rg)LKu&r zX|ZzBw5Zjv0I)UljcVth{-&3H(~Dm4m09|^UK9WAd42ZaCV-m&;Leke-f|;6d11fI z!_0qJn7aVrT1Msm|Er9N==slm(Z785FF*Lu2Oj#whu;0+xBv7%di@LTyG@IXMdRx> zIA;K0;36x45Xspbj;b(VfmrEUXEmhEQ1alXkry3K^&vjObaX5PRY1`iI-J#-VYZ*889=kfRh< zeDBlG5&?4_@reZ7vfa=*we(bD_OC~G)}XR+8wQ|BKo9Q|5!|>Th#{Gb@Z^Sh;FDYL zd-%Su|FZgJFL-^9X32+uYt7ZHxwkfpe}JRIaL!kcd9HZwDiq%oo<$Xf8?+n|uzBYat*! zb6XN;ElQ!3V+En)d2^noSn6CvJ3$d<1pNdM?A4K6OrC0a+A~WOmfwD&BuH3t{igrP zs&ON!#!(Qao>_t;2sx!Mckh{{YehkGXX=oI^X*}Y<0;x-@Rdb7u+_Ysj$EZjKC})I z=WUaVv1I0uSE2tYhoTGHC5oyuPw@34N^P2m<_7z|C z+9liA^_uu^;p?Ui)`l2w&{|^N7#~ zwuXF=(a?p!Ieb{1plx82ly7CMWNFrinBrp)LI`T))h=fj7Mu$*mewjXXatA#ET$}8b*qDZwBi|RTv^_SN~Idsz@7@as5Nxis%T%~dGD3fl6DZkN=e%F^h_nw<|&e}QSeHad$520x}pZtiFPBM0; znE?nH5{cP+Rb`oZIB?DyB3#sMUAIjeh>~^Qv$|*&P0J!m`(D&u58n_mfFlBcfwN8P zoiqAd?H$ZjlhA#gwa!}0EOp}rBzcvOtHxsZ_PVloy<_R9(YmV%qAS)ZIj&s(tGJ!l z`=nQtX}A`-x(u#3HVm)Vd_6k0twPr?-a%Myg8`>!GRQlRg(h zQ4iBQomm?8#+cUgU|>q(>ZL^tzXV`JytrtI2r+!(_sviK!80~1{Ek;FUiIP&K7_zr zIdS5gwayu1j5T&J7@R$Qvi0Hcu-@67?(R*Vc(R#H;o#t@wtdPUJP77yd+rLbQuTE5ZU{G;npR!3`1uaNI>}SgxkmMXuSq-uu3{{L%f!n!#{roEr?Pjq%2K zJg%zBIBS$Wk`b~3^R_A8C**$AlHaPl0B#t;R#kksX;K4$A~?U}jR@!JP&k?8sLy}M zX)sGDH;4qxT=EtU1Szrzvr?Hg=?;6A%n~K%p)_eE`F82{Q~L$uN*=9d(Pu_ZN5jC5 zX#PqMT-n<~ymw^hi+1N=ktLpW zw{e*=)03F_dO*}ST7H=7UYJcLLC1;I@ zSYuK&1L(@?1A_n|1ZGQx%}T%!Hf^wmyc#MnBIi`o)f8oGC=basZLrqVwf7+y!oYGk ztLL@fKbULIoX!X-Kvbo~j5Lqg8H5PX`Y@=RPXl&jP*Gxl-F8+xVwm#bavq!NtWwf3 z5fCs&QmY!B*JqUEok$&}{(2EjR0KX|N=G?IDZ(M>Kfa{A~}?>Nhc zr4c#zlFGTd)iS7i_+WqTBm(hEA3!`gS$%)Sm)!h{7vJ%myKl1A3@aB{oHesW)3j1K z)A~S!!^$Ncx3HZgSt7@P>7&*tEH)YnW9eKuoBO|&HFeV(V?^*^x_Ij1zWz`(>L~x% zhnN@ToCO5uY(%s9us2y~;cG1os>-9ikgFE06^6+v@){@`%h-zC(L3f=j?hbYFFot{(M6fm*z#cijTYjF|;UclcBrGfe4r=@t ze=zu0Z~daLyHEc9tEcDAOsmR`hC`8xj6ncnjCF1>#KB-N9uIFgyLt1C^GjC_7mLM} z-7q_Zz5S;aSAGWRHlmwx@Wu6FW-N8{z94PuodqHb1_H{~)<(ws1s{CiPks84_kZLs zKK#i~8EXd{qrqr691fhToVA0|P%RUPq}8k1%mN1=m;^IJP{nQ?63A-{7p<*xBiJ55 za>8cn}ntCwCQFdZ*yfF$&L#GEX4cM(YhFIyD)GWw*;!++h zJPPB z=7*){`Vy6M9aT39DC=6EB57Dy3Gqk>NJR8qZ+Px&zTz$cFor-P4NcYkz&xy6TyQDo zfgz#~Oqg8GpaQ(^{(Hq0iv+bA6OwRXK!Cal%wnz4vwmgoU}x_z$Fu5LHHr3)#xM&- zkO){J0q{Ox2RDm@FxD8QRg^P`{RS=^dGI|AYsBPj!`Y#zNU8c1Gjl)|Q44!l zdZgnMVMJh2zkEF1XfPXHh)80K94cq5HFe_+LC)zYj3zybYx-p3N7pd?_J`@ckKAI7 zdD%Vu9pCWDx^afc7~`C?wn@>CFdB`A!_6~i&NfX`*NdIK`D}Lh#FLBZ^wIr;N9)}` zG58EHH~P_Y7xP(F8CO*y2!NBeVZnJVr_Yf>AwmfE-~X=P`VVidHUY;c1{}!tiZB+xBK3Lxt6O`HB00w79mli*BnD9LSyHK$Qg3kmEMiHQkm8P zJ<@P7W7d_>se_j(lF+jXOXpsK}0Fra@5q}G0i>P_Kehq;<4+xm3P1!y=x#1Uk;5IofnYiX=YScUh4F^e z4NeX*Pl5`FA3GV2b|&q&yyn|p^n$NkI|9 zu{F{Jxa{K9LRp0zMSN=q2MLvbq{LZ7Y};ThAwt^*5viMi2#eb9P3HRtb4+?IQs??o zZ=bq#V`@eWEGhP|F^du~X6D5^N=G-MgMt7P7&AI3prn%`60)#@t9&%^#)Oo}`A><; zI?w?f>r{x43d^NxD+thfuS4Phu)BZw;3ppYjsNr!@1f<4qJY_KvAJoNC}6Ad-kMf8 z^alKoSV5Nk#8B#8QOQOkQc3u^_uPz#)|f$M5%F-|3JF z0IDNJrP=t|>Bkh}#z0yhh^VexL}+~gfJ-~mz5Ti3)l>y%5sD=-qyXpmj8IaW+9Z@w z7?=^^&42vat?|&rcnU*?5O7eTrWlqA=vrpjF)(0RSNvs<>`~kaJlZWF%e5<((oV+v z$vR8%=aihhw7-&q`@4FmM_EL2S^W9*n~vpj9Peq41PSIFM!4ycx1O;WZvh0 zLQvWhNC<)$nKRO>6@(CdDxOGDnA&<0Xaf%h_x9V%S3WbHex}}kz{=Cw!x^0Z2H&=+uEIsl-uv0C`Pj!E-ERNwi2$L2`7Vrk ze{|;b=6Jj@9*@Q&=Un-D=^{LKJRB&(QADJsW(;0L5NU7D93(J^z=>h9dL^f_Kr8?g zXq}eQ+|n3=>iG=)$iMW5PGQZ_$^!B4KwN3pR176xg$!c8ZlSHz=ByxH%6?92_5=v zO$&<}h@frJSpb0jNqjSBdA{K3&>fQTi{@Ki`rp0yOTKD}p|rjxzFwAHfBiMSMnfko zfW)FrZ`ePW8$%mIM+iY61R(-7JV*?oi^Y(5CMBW~ga9Jkw7&Id40<2-Ci8=7eK>2< z7F)|#RNJlwYIG&3bovZ-(r;?Zs>aD60>&CPxmXC@?u zkh?<6OlWj%;3A4yIY@>|Bqm4!rT0FB5CFut{@w5Yfo^c2K#hvc{MgWELmrd*Nxz=W`v3VRco>9W{UnPICey9 zWjE44^ZEL}^CTpUHR(Q!gXbKq#v&l6#zO*F#3UijX~%>GlOkF;M;*npsT~FYK_ZLD zL5wvlnplL-Jc-i=kbp8V3)NfP)jrISk})Bok^z`Q@V-X;`L~&0y#Jn|GvD-*!Kod&oz3wR4}CH)ZNf*Kg)e#<{KOB!KmRGHEE;ZgqOPhcO3Ju4tUL!{-fd*;WN4!R z%q*?<%0%BVz{J7=N#M|GpGt{0hxrPp?9o1?GU98ppFuJMSDg}hgOSUsq_TG7U)gb6 z%FI!(Mdm zV&UPunM@a#cBZ&Y8mRIiK!`zU#1XOvS_gLxxI{r- zk?W$tH;&f?5s@IG>T(KdRvon%+!Y8(HZHQ^Q^r9QU-)AqWlzRxxu%D}4k2 z7*y5}66Gw=Y-@&GaA+!Rp`&{x{li7=laPL$kx~{9W^hU@5h!mflBI|e zRY19Y2-vm(5tEfkwg-rmgfSFE*d8W*5(yC}HC&j-&9LskL7V*PQ}!XWE&jsW?JxiF zv%cyszUyh>l`nj_s#+hyu&QjDdvn%QRW%%LjK^E`qS>F!c6O)J>2zmjab*Yg4lXne z)H}Z!s;Q%(r;2ivKZ-igH|Blg_vqPn=rJg{9sR?&TidGynTLWTd z9~8UBASE?7=WCQig~BNz+%?2H&j6-@jlwBW_=~b*p>q^;iJwsBUnHfIdk}a?KB}ek zW=R!oXE>Ig9$Zj?v$Gi^!L7{FBS2vZ#oTduw5fd~(6=&YDFmfhvh_$ly%!2I!x4Ry zrT^4dH0s%6aX8y1ynSRBwckBhbh#lYtNgoc^UG0=0EK%PJD6ebCN2zh`OhV)rDTof z97x>|s)@o7Xf@onU<^)c9dQR|L6dIlCeDY0us7y#bKER^d(i&m5B=ZAtWno%;_I)! zK`%G|sG4*&UCa<^XpI6EgvA;lqP@v{P+4b5X_-V6f`ky5u=UY6ad~%o;qoL3t{nXd z+A_;xaNLM<8Uab3EyVoRW)B5_g8WCASj@6#t$v zifKhxGX`5jL7{Bg^$Q$A5D?$`cm4S%-~84O2?H~P0P3sG9mHPRQnqKMuPkN<8=EJA zSruO_ir2DkD_Ik(7TD#YIc@E=6n@nUZ++#L-ErTYHz_sR7^CjqL1h$Lr&Ek-dk_I@ zaMAdVP9+g7nU_a3l*b$vLL%}$WLu}2j2DaATBlO|;k-G2=|BzN0AP%f5H!D9e=Zc} zJ005dfyoex7>xRK2%>!e8)q&d=M*4;F{C-(%;G%ZpX2Lz??em!;>4ey!nqL&%hn)GlmY^xFbfA3CX%)l0B{Bujc$@5S53NkW)=n@ z5bB_+1;Qt?=uYKW#9RHqD_JTW{x+`8$;!z(+J>2z`7 zlI-up?%orQp4c42<`}lOpsHXpAOGI_p8nzUqv4rqFdQJF_dXzz79gDC)^*d)0MG;+ zQd2i|2)=Nec2OC*K*qQhQGe~BG2*)JnlH;T&J8n3m zN)-P@1eF5-a2Am?oLL1F91f&uvGvgUGz-8i!qeffC8($Mn||PD*M^2(uZgd}{>Hv! zQMbmB_idzTCH80VK}EL^yfL^~v@;QBjWH2b0{~ZcXOBL$qc@Za$!L%enRMh?oHJ>* zLujc}oK`XPeerL!2lIk+v4ov^yA$ngPl|J>LfB zOym<6nlU6(8%AFQ2M&t$-PxNy_=(5g{MHYKAh8aXJlk6u%L;Y6_;dc(*2BTxo*@{G zH(fQ*Uu_8;uSB;yjb6 zJWPpM0VXL!Wvv_nLl8v-um}WU5o7S-$9MF5S)la{$k+?DMj{em4*jQ5zaTE{0kW>k zFUv03<=2spi@r*#YMWlpF@;N~Mb!xdKti1wqmzQk&Uu_KVgSBCLBGj~US2#)nX@Z} z=~Vz1>Ev{ScT4Svb*1q&h5Q{69U>zC?MrX}>34n%1fM!&VR>T8ITO44e@Z7q#5QOH zMpJb-l_+t303&AxGWd%{2!V|5%%MbU@6Q%V@1tdeM~B^IBporJ6C-nCWB_1)-kzWF z$+SJor6z5amz3L@lAU6oh7}yy${KFMj#M4FmvmJmc@o>Rxbc>%XshG zlw#RS{uL0+o4!b^4LP(V)5kg|YmwjBP1mOY03ZNKL_t(h{3qoW7g3r84y|VnmfnRK zqv@h}Uv~JDQzEJ#(2);d$tls#k%*rc<;@LeC?-`Z>sqpiNH2OWR`c1%94E{b zY9Ydp>{GthDe10jmxWafWK292m|WT0CcJmUEEax$)~?>GOY$=JbzSL9D&+qQr5xSQe-0w{E@Ylml2Etbi22q5dt6hL87*q5qG)HxITY zyUIJ)T6<@nbMJj~y;rj&N~%FMXdaLX4M=ihi6Kxxm~I+yyE_0uv}qgMK-VJ%i(ZKDhUh%fd*7ksj4KEhMMbDz44CcoXp&7t^Q-}y>n-tb6-7- zP?b7vs;czf9nU#=GWWOF`quB0Xi84-wP=GvwH(RZn+5xd1Q+v z5iW7GdgOZf;@I*1Z@=wrZ+M~A(^X+(ePUA8f(9KSAja@&jdl0LiZ(r==2FO2A62l> zSHS%GAWMvzV~)Vo`J%I1-RZO2TRU^_9Vk@Zu_z#V0#)$dn=8V=)sf|CRAvAbbq<-u zIb@D!(5lFeh*_F85K&!M@he3{By?SN3}fQSIp=CXwt9AApy(>F?w~@vzeI!m1@Um6 z1xkHfEWm>tRsPexWLidU^%b@R_(8_uzrs% z!mHdn`xz%nkvf*bmk2Q#o(?Pw7-s8F#itU**6%IueBMcWqn7n}5g4GlQeTNBUPjJg z4EP{KyZ@_SZjkiO**Pt=642%R-mDk4!m>O!vFMzb_z4;vPmDZh=Spz`*9 zhA|5`H{KJEu^*em;-*!24-h~ix){m_kYCS{Q^IV&SQw6Bna*ak=9IUbpuN^S073`Y z#}h@ya*PWpoE-o~HD#2gCoxzA5j5`MzjRUT=ZsQ;VozqNfh2z&jAZodqP2ohV3{R^7C^xYPa9cl_+JV<-Oe%EJem_~3Y^4_TyU3MSM8a7yd7 zdz5#Mnd{oC>e=(VJ9~}o&n-uTQn*GADiSd&ff6ASa*!ytY`|5E`ja^*455^_-GmwX zsHMKK3KpR%7|7N(%G5`0rcy`}%6aNJk3C1vfb{i3Q4N7126<@U@aLcW((k|jZV>~G z6$Q2>DV)uV%e6Qi#ufqq6<=ciELvS$xIh4F>udF7T2@_?}m_ z7r`tPz+lbq=&X;^l#rHQz+xt^+)ToyF%d&UPWi;2_89d#E;FFyQj>^<$R3Rn9^07q zrNvTY$B_a6z~`JgVmxwtC&%bIh%(yxzu8Q#pcDs2EmoHR!F%dDt}Aa069)haUp#w( zoYSJEkZYdteg$RiUvv7GosOexq^d`zxV>n#YtPU1=yc7pgz^i)%&O9Y2IddlkAL*J zYrMby4L60?+_ru4*xt!wn{l(MV%O}}-pL>Rmsd|Jr=p0-y`b4Q%*^7QbKXNDk9)$4 zv%P{t04?(ij)969POS7dTqOrYoY zL(bVlP=Qnk?_XL+;0U?^Z5M0xliK#}(6q??Jx|)&?bg%zto!b79ON_OUn7U;fW>xj zJTu4E*5;QMo0WH`P8>3y10s6wtWae)-;h+u!;hcOH!ts;m0>deHd-CTN-p3Wg&=k_ zWESr!l0K7KLhWxGI$kV$d5HkR5^t^PGnnq{rjjjC$No(5zLuc8s)ooQgseKuZ)e^+ zKnPv<$lYK1gS$SJhUh?eToN96Q&U>jxJRaCDQz&gP?YeJhO>VJU~e|xo6V;cZX7-y zE5^oOC`k8je#Nyv{M}z~Mv)L?GO6p@0idu{8`B)!=bXjX#}~vAnx!Q>C9nuaEf(&) zRskZ0Afn1$Cn6z87sNT*-d&u%u*)oFfyf4coQL8Z+3v!WZe4j%DPIcnteaB>Gv+Ei z4(EtPRTK!FLkduNS5;mWSr|A5DgFCDeeCFwbt|fS@2og&Rb*Q+WWfz7N`=m zg+~Ch#`$20Ov%dow&OfQmu?iIDg+M55iYq@tySI6_9ugBY{t{kHA2K=Yr3^)K;g-m z9A8_XR8_|ur6@~ml#v7ucio3~-FFCd1K`Ts5KBr<9jdt_A|-9euHI{!X$klI&r|vM@9(zbvH*A%OIr zicE4J{3>!7*F?%mDGI|Ij9H9Q9|j0vQY!+q9X0~lL;^LnhYy{-ut&oG{3rj#022S7 z%Q8Qx6CWJUIm`>`z9D+RZ#6>7pX1n*~RAho! zTx3=k>1o<^Qddp^NCmx1yKuFe6Cnzy70IltAL#^nW{!$#T%ILUwJ{n5U=fN1fIJbM znLlVh)YcP+l#3U3Epsc@uKmbge(^mY{B$BpjO>eP>!0lqT4dcSthQ|yG-Zub!KEpr z?`(^Lm8UYBV$o@H;X*~QarEePx^A-g`@j9R>#sR_`;Au_A=o=|j+!=Do`t>sI1+xb zHWIyMWc!w$<5nzKy|hD(FUjlncUrOouT z}eN`N4&E>)jKK<)~<9_Qth2j0GSgQ-q`v~HJTu9my5a0OHD<3+2acI&gV#};q zBl?2tP5{OVv9LID&Sq_MRSZEC2-G_YEZ!k1AOUych%AuYdlCf}v7GRoSwrNqZ~-C( zwLoPe5&)Dk5+cv@CsYUa5)%>_{pgwl&?8gYTFjr^o~>6kAx`UBRLPP>G=tB~OCz9z zQz@#or@XPtuT=!Rh;%G_dwWO-a~r6N@6(f0Ju*=M6v1SW9yJ*9EkHp*l!rEnB8Rz; zyBKD|X*T2%3`3i>_q+5p;bCqx4zXzQJ}c>livu$}7I~Rk5X`j5TB6i`%Z1@m87I@N z4#aVGRKu9%rf62?1YFx*=$W~cwc%noasP%1l+|5mXNzVwzsl3~>u%A6&F$7UV?{V# ze{UK%BBf~N7BqTU?Xn4;2!@a0F(e&RC^ef-`>62K_lQld>_3~WtwKqFs=k-Nl8inH7T#tIVvGcK{Nz2BGg+XVZ&yw-F!> zz9A$ld1~;1*=d|Zi!HQSNg{O4Sz#NH7EK2L(@CBC4*<5~qDfs%CUsR=nqj$(DaXby zx7R=T^ZuiC{U@!HEs|hXnjwA0z$y>yIZ>i2G0H)sm>`FVJ^{`ls8dkFh}6iYWkU*Z zqBvuo;(yu|=&us_p-V)zfegSwRt*$A*%gC^ONIGC>1g1=M1U9&W3+NeR#Fg-*CaED zcnvJ-UHnmoE6GjpxQRxP#Et5`={hc9gOOHo>A&osV7Mc)!I#SXnA@AXOlW)G6NI|X0&Rp(+61oZ~Q3eGUUh*ZUgcKIu zVv4wjzCp1|ETbX~h9?CT4u?*O=-DWz{>IF;@;nw+=A8wcTl9U3r`sKlByrsBwDU!~ zw>ahTiZ$P~yt&iGo@!yuh8&q;e&1v%%utZhvsd^gRy1{R(j4D!kQOFQ)fwCB zcn6lmmDj1XXrOn>42y+p5lLyK$ri#C zdX!Z-L~NXt%FUaO2m$f%#*{^ks2YL6 zh^msqX>E0mFOIPqGJV#>D4>D*P3d-lGck>%+9-!PSB}d7IncqVYhlka_yf`aK!Mb|BVe4ythozPbQ+9fl<(jIIS;CI zN?`wdON~BsN|fX^!%CP_LiT;H?<0W+Rbp*ti)L?rrN=AQ+@j@8;|*u?NExV(ML{XMTqQkJyzV_iUM@`q%;C_?u9}2b%ccct%UbeS~jB-(o7>uxJdk%$DD@6sSUujx&%JCc>B9#!Po&qT6aw zJuRbla-6*u-QlPSWPDj$*s>}H=DPMqAl4-JgySubg+Y}?LeMWh{M2v%KOZ)5Cr2%8 zPAPyPNll77+{$bGX)b*1gBEfINm*9wLx`YXk}W3wm;a~lxccPLlP3-l;@a8-0p?9- z1c}+Aom3U1&?M8QXj!O;fz^A*mdTv%trokj$>VE#n8Y4dRp`24>c43_OB39kwTq@Z zbAHD>;%W2|Kw`bk3=&r4K!+ki0FKDKgOPTeZsE>R-vZTCsYs{15U;2z@j-$)YtiN- zoylt?=LjnjiKQSBdGz$AWoSF+Y`fJ3ZoANRY4q;tW>!{mc1Px5Eo~6R-{RLq>`?4) z+Plh1k5YVRx!?hSFgYi=(P2w-o=;7oTNVPQM9w@yL0Ld@AYmHY$Cdm(xSXMuq3V4a z#KhC~iHFVg6{eIj7;m5NCdA*p`@!CC6ap|Kw^N2i2d)E$@ZbHN=OHPQI>5GNdjX{% zL+n+AD4;;Xe@8214sXZ-=9ZfIg|p`!IRc8K^O(2){q{q1NF$U{WJB~FIO}PZxLu5$ zi|k2&qf=Dfa|Dwb0APEe-dDA+wwi$iO8ava(d|XU!rC-3I}`u_PEGajBp0-E&)R+y zL1~ldlkKxjBJUb=26HO@mOcp((9Gy5%naxh#*=soq5@c&um^p#fuF(p03}DTcvPT@ zidy;uR3Sm)sMt_B1yz&*{V+KtBB8#hvD+!uLHJ$)eFJk!$eDQo4Y@okEEe@}Rqf_Y zvp2uK!u2)RcD%XM=!)^yypERB+o>?Dc@kZ*6vPUJiBBO>HkPz`B0{GkSN@{B8??hA zs2Z9Ooipb>03Z>F#5wi+x{63!J2|vI+iJe`wQsjXy1yYce4vRBj%V^HuB(h~?IAh& ze&ce=1As#ehzjZ)MP`)AC?e}#t0*CoOS{oHhZmugDOV#!HzSmFfIeZ9N~?hu5X#s& zWi9m#NMwS_h0VSDzxc#^KlCZn!OWVF5`D?GWOZrU5$h#xdqc~_;N!!=3&OF6E-?~i z<>g)f_&aaE`8r3j7E45R#zvAbW$8eu?q^4xX#1k8H;)% z14oEx7uXUARdsjPo<6gss*Xq%961_tg1y63cL(nssCGfTM*xzgDKKv^f;_;rAeSP zNTfKh;ZWU@NKS9O7?lvS9P@`_eY@W=#fk3_KoyD13_+!7e*;&Nuk)9ryrc9D@99?9a>d3}S~%$1)X2aoGW!5iTzujXkyvJSI4=)8q>g-T(f z@B`lmw>%$0cU0JR?B;;XeId;!x0F%o_JOv8veIr~_Rg^gk(Ewkp_hftwSq)_Q4O$g zb{B*N6|-s$y70wDB^5grdNP8fo5$c>9bH4!pxRw?Xf1G8RTEIyZNa-(XHZ2apg^GN zhz?D#=cSb~MXC|Bm{nu8JwV*44L0Vmp~F{8<}d{=;m;WS>Ju*eh$$v=0e*~cky zS@y&D1I;OMrN+BKAySiaA-U(r%OGCMG-?cX&O^j@->@-0Rjne- zEB3dEkeM%R?tSnh_kaA}he0I-VOH~27(N+$&ilZ;3q_DF;TSZ5Bg@2+x*d%+P~X=s z44(yh{+r);+gt9u_4XUDAxB0xTVI=YA+()LYR4Q4o!&W3ZB%d2U%O`|(=eLKL_q3S zeIlEsi|z;jXj=w^PMAg7j=duVc|G zZ)yQVw2)zP&Z+Ayw)XaoFBbGeLfAK4ilL<;ba`dy_woh+Rz^Cntg(+cYsIV<43kRs z6XLk4?UGyDAbgBxA^;uK0uz9Dd=K1sL%jN&1MaSwOw0y>HQ36n28V&3n5;4WUBtP# z9byqc2+Wh(TMrDAp9c^g+JaN-NCZcxDj;Z)n`qHpfJMnO86+g*6|_%`=%d=wS)qt- z7@O9OsuHglYv&+B7g_*lnz{G>(4-<%BoyW@w1+3r%uoi$IdqVLVmxjJTpf>CQ;nnMAbTQlwy7rG~YUN_FF$c8yo0CXr1mfw}ND@1W|Q`EiA4j ziHtZZS0`XNX-e=kMUql~rLLTjZkgrJ@BY$zKXi|(IFc?%3OH3qY6dBhe)87X2%`IW z`TBA%K<-la0rFTnD2lcpXpd$q1aaO@<3Id+Z+`0=Ztnwv008jl;pz77q6;hvYtxEF zy~B>dN0WoOa8V#)&g@oi29UaPR>il5dm6?Pl{o4hSVNG7IfD^r^LA%%+dR??|IpYBh#P!l|LE4C`1G^&pR&0#t$SS0Atz4u88HEK#_U= zv_76oM<~Nk=njxc!?sBn*!bm2i@a+z>3 zj^4m>YE#nBq@w&zY8*V3B~1^*?CA1&?h}s(2moKa$k9%Ke>JlN6vcMq4WDvwVvX8X zE^aqDmNess;vn^qUocj`fGjJT`d%z6}H;@Q7&|73zSrf#;=rfQBzAI#gLy#3Y^MeqMqC*+AF!g=NxODyf?|H-9 z-grk*xWj7p29n@-$puMy=hJaq2&{_sHr zaGutuH6XHR*D)diq6!2SbB1K6N*XoF*{wzLTsinv4A^BgJhVwD`uT8g*dsP2a(T8Z!VOiUciQx&q!Hs)!mlRXtfN4aY?LHx9>|j(zSlcDAWnG! z3`h#9q71;LStyBPr$quruXjOG(PqH$E*uKI7wW;Sv?#!3%uNqAo0{0p+Rd7Fwz$&c zb2j{<30phulAWMm7VUiGWad5vaA>PYhPS0_RCU1p1Av6E?922x$WM*SZpk0do0zDM z)iP*PG2VKE5(0z0_l2bZ03ZNKL_t*Qxx?#-*v^|@`bWR!VlWbZmBq<{CO$ZxiKBfO zCsT;wPd!;8r=k~#svK*s=4!P@e`yl1#ls}2h*k-a0IGc;ZHj9e7o~LhLrBpWpe%A> zbN91fI{n^1{0!utb&@ZEv!hIdsCU3(GQ}1oVD3G{_Mj>cAplrgmIr(^$QpfU7mi?{ zp-Z@E++oHvyz?i&P zBS+2=Amx}V+ghrsq-n%{GF^uaipw(+=M{86#XKq%kATesnOoMIOBTnH4j%i7hnDVv zaf|RJcWmGJ^%0KwiJnKy^^h%2Gg8FK*BN z-@o|$haPxh(zKJsVrcY)j3{O88R?OymeP0Zt4%1Iu&}&J^BJpx_lZO?YD(uGAr>)G znB5UQstgdn^^LdxgTMC{0C3(3tM|k#b%g-V5q5#Sqpk~9^i@?6Gz^)oWL6@L5;Jn_ ztp%(IHkt+*#*Bzv7XWe5bamzCoqd+iUD&<2J%iNIbdFGLeINh`lE}fPMI164u8l;* z((j@fu?V}XE8lj(^hCt)!Fc3eRdaX>v!IJ!2n5JRbMI)uT!zGgjn;{D0Yq4p7mH3+ z{`}LAe&`dYGwzonn~W$8quGliq9I6N6^Wi1F|>$H>gk~DG>qg*Mn}-n4=}b0mnqGv zQlxS)vs&yN(Rcsto4)BAZk|jg0G9r!>uVG5d?0ZSLtr9wUOamheeQLWANju5|H$|9 zU*7xZ&;1`C)5Ka+5C%my#}J9Apqi+nbjss9upf0Qi)Z~yiu?Jaic;n zOAz>UGQGpV01Bi)1dgIXrmh?kR23m;2+}qS2ngiJdveY>0&rj>Z2)KHhzREzI5`CX zI6Q%bV#uLGJtZO*-e8DpwjkvgcT9yBJa$$RMyx0Ur}YP>e(Oq)H>~-_)NnqlX~`9Qm15JEzJX6PYR~<6-4vNYFOMlB4>0_eaX;2=&{Exr$j+?JDEt}#kNKg@>uD!h)CQk{m zs-SH{RrwIuO~^Y^Q9#U$AjFtzk)6A$Wncm}Z7_Giq7C+}n%1Q7+<>h1LP|o{p&N`- zlusdhJ4?TcmQ(j8<$WCZ%S)|_P3%OtRjgkU5CBUbFqH$DD25@aRgnX;Enp!S)i*3U zp3R$&e&(?ce*B3%QkE-=X3uqw$T>s;MHUS~0GL@7P*jC2+gYPDE@{F`MbM1zvfq-+ z$$cjC5IT{q6!zGxta#^3u73MlZoT5z205y$3X$sCPik+ty0#16J5Z>+56mJ^Rh6;9 zymujlSH0whcmL9J&Ya(Q&j;`S@TZ>&%*ggmbs|cn0O}w#3NbzqaoQFMp{xEw6GndQOFXxtyAjw(Kl8ZIlu-K?4K&aU@x{Tj8MZ0)vC0`LYT zv_kQhHDVoz?BZOnh;tg%m~|*_`|^EkNE8l~B2h+*w3qDSFr2>($0)?R&Gq$e(dwdm z=a2u^Gp>dYH1Walj2$PAZy?}vuRRf%pLlAkXt~7eOHr-aUFQB+B`scun%i?)O35LS z09n)xcF=$XDyc}Jii8@VbvJI|P31!VikIH`vKPPR{?C2tU;g{w>;j{6-NqUOUTZpJ z8O}e)B8FvIVI0W-M?fx?fo+NP4!Qu2`l_b_1db&ot2vd*xEJh*i1@c(f9qRcfBWq> zUuUClb>%GAZQgX1BLJv8iMFpD%ZAQGO1DmDHuPstiq}- z`wGm`b^!o8(5@3eICpXP!saZ(R*AfGA`&dS%k(jk#SJ(F1aO2gDopdlWKR*zxpx^r zq9QqBO%%J1y*CjLL=-Fov>;^$5kiz6>XaM0Wdofo-*@6izE{tj-~RhQcNdFj z2T0)^4|aP{%JssfPUFN`%6sQMI3NX)oL&QG7f~6)rznf5RZd+ovVxACU^ z%39?J)Mr0`&*#4QnGfIl$7s{gB6ZtM+h9dsa|mdzWET};glKa!O&k~;_3b@-qNec8 zVi5z0V^mvI_y5E9z46sAx#8rA!*=)W0*4@19N#bl8#H@5{cF%y89LttiZ+pY_t zYrBu#`}hYx_IP0Kx{f)T25{A3O4L%3z5UmF?+0Ta+H2xc%)+vLq6{-1mAT4daORxY z*Sz+QQ?GmZ^KQA}WPVVS+S!iCh9iy5OoS}rotd5$5t%uM2 z%=w+)|G<5Je(xDVw3202RdwZ^GvWt2atgpKBH6)$rFUZWk(cY<61^&RPDi=Gx?Jqi zRXHZ3O~pzNM!D4~AKF`9dCePM<&GZvm@x*emo5TGU+;}orz8T- zSuP9^**~#7OoX7Q3P@%LB4&1kT?jD+lfhRp8K$bwu5Emp89x7I_|5+lT7U%t5wrwV zO=aClOt7E|83WUFqUol#z8`6oqdQ%PC_)$ugmNDeLE zBy}mlObeFWimxxr%)>K<#SG`*8d>@kPz=D0?%Boerhl^b`jo>AWtn+trg^w!AJlFK zez2oPOAG-n(;j02! zyIf8DE5GvY1BrHUeD&XW0Q$14Kfhk>T2ok6+m1uvr_Sw^T+9@7XRTp2|Et~jMI`)&YCfeL_9*KKs;7&#bjwz0VN zm@-D{1LqQjD6tzqq%vw+KPJx8xL?d!xdu4V8DscCe2d2Gw*> z%u<2==GfOh5iPei)in_jLNMB$iM&{fv5X8z>}pd)X$TU`scgTFMG-+*y1O)h`&Z_D5eKm1utsc>TUWr7NcbLG{ z)OXI!wiL%eZ6o6x5~;BoO+|&kle(JC&Cm}3xVG`&bnU?$SuX-#co2T$cVLd-D7JPp;wNTFbX>CX$yjx=5@TiQIg0u{7gqKij#i7fX~xuVh4J zxECx%bmj?-Oa3eLYwClH>;|jEbg?^wm2;dj6vj||ac^HJX8T4z97fR_D|Z6VHr}zdjnhQCnSq< zA$29KAf?VmEIigYSp2Ab*;EyPncLdSy1%g9{g-d~-dDZ+b$$RP1EKlIu=ZobAl zheXp!b#ZI&@Wym&XGTPz+66YFeA@*_pD$iaq;AmhAsSU?oIi$w5)%(yq2-m|T-<{D9)RD!3)|2gr>z-yBAU51 z=NuuCBl|Z->H#3=Q+s%J1^{|$4UbGzQ2`~6;>8PoAX0{@Z&5hf=97T1oQjI4lExm1 zgpw!#3q>&zb*vYYffz>O5~DSt6r;H^%)K>%3gzt(qvxSCS6FW@A#ka%+PQK&mi^{3 zrb(2!8>2FLvTO_p%>_;c=je4~}Q}_}uyH;QVzr96NK}k;m4imG9{5uD{~q_H27+KIrd2 z^o?PmGII&JuvqlqvNP_jU)hIh_o=UcmNfuW!9%F@9Y2u{} zj#7LR(L28Lj<>$<79^@GZx)fYNflU59zQH9hc>276I8`m93nEQycvybd*Hq6xn_MFl|m8gt>PEe6hdD6-Z3aWGFY_60#6 zKkXv872DD%pmA#V_J!a`a&tuLOj@3}PGFxPOA!p{I0OO#= zp^0R_I&qv*Q!(D~DUa8$`9&KxcbbU6UKXjN;x_cy2<(f;sAZaVdAX!LQc>G2E|R>) z6=SJ!NIhp37WVA^;x0?-=A7%9egL5ADIA?{&N$4vcm9vR^{lcEA86u(VI^K}{r8n@jeut&_m1y)(OX}Cv!R8}mBB32sY8Ik(gn6}s=Tkf@48^; zx31ix>Aa_|=C(jcVEeSqN-~Zv<%C;G8>ies|t<2n2xS90apgqc=Vn zxyn;jJ46zRECqWHYPt+ZwXo%au@(b`tINhqGI!qsb18XO5HB?J-@iQ(*%zigV8G(HfE?@-D`QkOgq7 z=$So5!m~3tIfWzBV!{-akkT2<^4Lb%29;NULb|OdP-SOJ~CX z&r{egcCZ3`lR03A>V z6~d&3sv_&X+C%--pZcyB-*P>RfI?My5otTNDY4qZHPXbGBfCQ)A|&^%+R7klT8aoA zVPJJcHtPj|D&oEC0^2uwqNWQW2w2Jn;O6#xXV%OY9i^=79J6d5R>Kt|q9bAv?_FZr zrmsxX&Ju~a(XMJ=pL>kV;#>@dFo|h4?+nY#>di*$x{fEcw-P2teUGBTtlptLBikLd zZHK6B+r8(m&wlEQ7xIkSh;v-3w51}}Kr4^B0l7?UP3ts&pP;I}&dnts9oLWxOAfwrDyLZQ78n)slmul7_KYukBb> zy{E2aR@vH~@6Fn#ld5urGcw{)agr67yWCU+sE3bLQZC8Kr(;xnUw{KS! z)D<`f-huZxsr__PIp;xPZ?<^q%(V|cbo2Jk-p=m4Z97D;^lT!R?ZxD*P7JoEttx6e z5rMXo*!r**mMDwN+l!lf4}9~Rz*kV<2;)eAMu)mZghYSyxeM@_&%wJt0PKJ~fWl1O zo?G|6s;Y{}l^){E{Y8LNYj9**RPSJ{}!G5T%7KiYpZ7pb7^@=i0xx&j?#Si2F5gsr`roXw}77LftMwM z%TH)j!%ed{yVBwD3NLOoMz#Uym1y5c(=w4xMe`1!^mZ)oB#T%&9TtX*ZK^J#@dumG z0W~vQCCVYcqThw-%@nsZ?XmSvad#2E>rLPLikH6jYeEel)QJy{XX)|K)>W`|6#(3P z;<4vl2M;}del#a*cZNB>;2F`uqq4Crx37KmH@^B6ufO-RcmJ#R{yKCWpvXi!gt~SW zyPx>MZ+h-ESG?$!YwaH82s_rwQPT$VMKFtV&;|CMOcYg~x*+j(o_F2|lu!d!=Mhn6 zsl3x%d{re!i>9kMc64I znM*Kg+5V1S<|U$9 z*FJUp*u!VezWmA4r!HLF*_$ma427A+rv3oDAjjmG$PsH>WQ`B5#nURRj1(8NqEzpaA6bv;~(Ll-=ipeMZFVnZQ&e|>tS2* z-#E%}b`Ah~bc(%;7KB9wg^*Uf=d%M92CjKk)?Qg641(y&pyp+dL?IiaYAW#t`7&X! z?$0p8GdrM7j~0fQNck>$_z7c!@r#EEAhLo(R|fZ8(k5Y#VW)vJa~&&a-M+gb%25*uEic=h-fQzGQFDybl|WU z06+!|i$St8j36N*(rwh8cU!ydfAyBX`^uNyG+pyUrE#;b&9-Z^ZTn=~=4M+PPqsF0 z?qqv&ZMJQDvu^Ku@BQKV59XPf??>~@Srq`kLANk!Y?23Z@p6=En83aTTiA(U7ikXvdM4r-&e@NC2VTM33ce2!{70}ZfSWg3({TS4&6T63L-4JIi- zEvoLS>SZu}H#YNe6UA)2o%|lMu!r=4^`$J5Iv6iCxuzAzcik<~+4f*cl!c%9Yk_20 zC-W9VCT;d)8sZ;{>j}&7Gn2Q`-t*xrl~CyA+KPB(IrDe$O@x%~lT2JO~>{?BbUvh0jOk~jETY#VsvGWph8 zfJQ%ag$ht*h0a((gF5V)b&rAdZ5Tr1GN}FKkK^T8v$CE!+#WD00xNndqv3tk#jQ7B zT6es9nEqL#M|>4;v()Qm_!D06<(_cqiXXVPfB!)b@tDzDw%vDx~U!`D~R0F(P7Y>Z6>u;KTZjK)0^2WV&` z&b&XhDSa1$tnuX(`1Jl;FpkP&*=Z)$=M2KYnR9aXnxGpNzP&rM@>iJjzKUEg+dvWL zVjx|2D~PIZ!WEH=veL(x;6pPW9E%cPzj9geI(hla=!ri>bVzu|+KTwNBb^ctGklaW z6ca*<=H2#_r9kFi)=$dnb%$sx1Wo}b8U0CYW?hb=9PW$FT~L&wdZTq;C!9Au`=#|g z977kZB2+Ne%*4u6LKUWeDlUx3za0Z7Ft-p{Bs-%XS(jvx5lD&R4Uvrns-QA8& z!aDS+W{a2l(}DB`PhlS9IIHW{&+{g*rEl7~Gq*l3l`BSMWV_|!7X*JUPBG4GuTcWY zbdF%bn>`Lw$=OkOtW@yTea`GuspZI!8AQOuyi*JYSDSwFdVeST%?k$7wiW6a1<{dlQ;D&-J?H^!^J9-Xn%UW1}++HfhC$p z%)~j4!H2IFGnPv&z*`Z8aHik{tTtBPM0ug%sAcPs`f>eHn!0bgw7IVy_VN>qMf&Eu z25N-+!9g-lezR`5*8+Mg#U4k)`sQ1dZTHOrcjxh;EwHwl9#BcQ1yxOr1;$~|@>tu& z0Dy(xrg#MewtP4ePMDuqrr>iO_tm`RGTBFSB z+8MQ+v?WOG7ev9Mx>hwv-=J-z2-LYW1S&N}F#icjfNdHr;XjDp8fdez3?s0sh)8+G z66TTW+hA_AZ$C1dy+6~R{OPvU&;6bKDa7qJ`9KG8sFGl-V(Z85(QV-S;#{M(80=i6 z*%eXS-Y{}iO^%@VAPhE=qht5mc_zK5=aGT2<>j{)`%*em7DTB4dudG8_)s!5lHP?< z5iBBagbQ$K8NpxY7{y#?uP0xuDBo>XU}MRd^5c~%!wxu4t{WuOvfQp zrLWt@t?>R+RPH+A7W01-amPmWEfo_(w5|U>VZh4j5OQbYC+AqmJR$SgLie&rx$WN` zn3e*AdvMWPAiu#7^)2oCnR#fXpO8G<^CM;J6(!F8)**|Ul@FrR zs;%!03IO7>@{|*}ISk2les(L;mgjIHgrOOOCwMG~;L*!DE&5G}p_TA`Kh`IT8#_51 zwRn)Qzz(A)`4LIMtk*nH{GR_MA2ok$zz9!$6YWKlUqa!HvOTk*wr$Hme$9M8Pp3ou zv6G`s8XcXd2G2$)syS@>3-zYu^T?6Udl!|=p;7_UN%b}K0pO1M&1$+{#>969GbJPg zVG#Hut!y{Pwtcc$33p?yF5o=J3|X_o0$Xe~t0i8bF5O7!s-SSlgJ0u7VxG5!i?qI7 zh=n(ahsvTA?&!<2WrSx3nJMvg_EOY;aI9&xnniOc{aP%gBYNl8XCuM3ocvXlv4Z&r z_V!7R0tKWc7)-(;x(M3#CCo|g)}PA*<{pCTpZrF*+6HYPGB6N5cTP2F&j`k&f&T*a z8uqWl@RjqwVbR0f+$MF_<4#ir4EaF-YpUXAq%T>@>qJXVXqH1z&oq>iq8e*;slnh$ zeJ7`~a!~Zz(dqHogks(K|#nW*T`M zBywj>$QYWWvblzeq9+#Jx2bb+F`Paa8-Zybb zd;SM}3)!o1E<5#m%+{mU*jUw>Pv!4ql`)xL+=ozMsRcuWANI}FApwBH<(O&;AFgA~ z^kna@D1#r`M*~fMkAu*gn{hfChH$UIw*MYTX0dYt^l@HxYpe;|TYPh)@bh?T>@w{c z$jrT(T2ltCz~Ko=5moZVWd%wagLq*;BIO>b_Fz=-nW-$hyXs-$+T$HC-~DbcUx}ZW z1xq1H&F%Vo;hm0aLFF`(acw`yLuXX03f_iNr8h{eU^SzgO@EGtMg>0fZ#fMsXz@#d zX=_wp@JyZl6fs8Q3~{tW28iHN$KqrW2gg~r%AsahCTIGUz0ajX)`7E=n{IZkiAx=hVq9w`=C|XDj5ob_TG3aTbgYsOOF}00Z$)b9&voeQcB#Xk zd!K-ni3Ytt4#_(7%+QD{%i6+jusi~+S;Ah&EZOboVnIDDTOAgQ45)t@WYS2Ei5Bmn zjO=}2_Jar;!S$_VRx*Z8P&OW9>PL5%^BWE=zfJ)r; ze!$})c*H9fquYGXHJBiWKxx%|DQA~OxDSr1q7+_Hc0zm1V6i62F)I}RW86=U82Yky zd1a67#t3ZjnkDwwY9RFq=>WNG=Fq$g;BD3t;P|hj;eGSZ>-o$%(#m9(hvP@~FXXC@ zi3`h$t;Fq7DtN>d;=vE6&WbBA=1x{g#&L#GM&;5Xc*Em2m=9?)wSWV7!&Jm|Wm9DeQQ~jvt^Rylb zROW)TLzsbLEn``o#^_m`-2Ioy=wgoLvnJ&o@pDCU3-*!(c$*H31{(Dw;=cm)^1H=- zYXJE@*G0p#g4zXoxvIbRw^wS9bEEvO@tJlkQ#17eU9A1OD+uk`gJ*LiUz_*31{{gO z#0D*+XgF4R87!_&>ApuH`A6aUo%NmW`}#q~sWqVh^ELi4SV#>d#L500aWJs)X$QZ6 zx}dm1oW5}7oaoPGjT6{x2y78(7cMktU>uZ0243jSZd~9}cNaWZGd8GLtbe9Ke@DHS z68!zGP)3OMf$3)fIc62C1Vxt&zq*czG?;u@wMnN0AySG7JkgM+yBIg7?-)gi+P>sw zb?MLQnVvk5)9KUEMW;P8`LPR49Otk%N&bUoh4}`)CBJa1g;nJv{J%XbuM-1=^A8+=zd*!bYB;CD*`@0|JQHI!}I4BhVtf!P-j$k39bQkx9A}} zG1WSUW;$zm&4x%NJI}QUG*O_YT)OOGqN){5mCf7I)zndY!(S*B@JiH=GJ;PV^pQTRs7=g@kVsO)#SwA`C))cn@&e zoiy~iCqzle+wxA(b7OdYjYh6fG!xq5G~evl!vi)ycm5A3$2Ija9k+_W-#XhbyrOBa z9z>r%`0qb-*P3Jd>d;}f>!b>-zE-Y8N8Cj(|LG~-UEO=!Z=#GU|I8KA=nk%t4U zp$WF9wGUu0O!{|m@@;)ka7?wX!43)hf}3=-uN;>Fx>Z?O42O~FkR-m?-vT?tXocV_ zgsV$UMCx47+oOP_&+PK#uVnW42}IWDhnPnwlc7$AkLC{u4NQQsYij2e#)s$Ue(3Q{OSjEc6LSC^J@ZjMsE5}HOfiTs0gNOe zq#DBLr>6uSa~%~}kpDc(COAR}8+-QFzpJ+{wH3HP^KVjAja|wj0(YNvkJY`+23p?R z+(Y`dS9h(5K1eMS}tqAbCgi`;gPr*d#+Xe}bLSn=aTC;PR zl(|)FBsj9AWte*dVh75wm`7_=Wh0NZMRN`F=16v9v)w8vtykk@z^u!Z{Z;na>U8^n zvJR4RA_A+rY^kr4-(ZDXF>D-ogsD=huc}oADAham26wNY;?kPA*Dp=FhxMI!-1e;m zGS|%1?kaaUd8#Gue!KfgIeRE>8KH4*&^Y|?S>*=tLBQuZ5LfV>=CYSD+}Mt?IHDXe zGWOa2c{($?A6*LYIb^S4z456W4tzI3xq7a|LW|;qoCs)#7v#GfhqHApoQQ-Lf>l#Z zx15$VKI}yhjf@n9k%|r}yqsO=M;+kBv2PpFDO~B{2@!A&EgQ3vA(g*@%N&1@7(C9_ zf1iAjV-%F5uvu|oioo@b6lzW5Pt;ik-`zQNgwl2sZ2x1wF*KxEVIyjU>x(>3A6pGQLjHo2ELoRrDmySP{13&@q_P%n zK!Y`l)3Rrs2f4RsVFoR#tp`Kz1qtRWF=nvuF9i)@PDUyi9DG6bBQ&2Pc8D3(bfMDu zB{5S54RG92p>LuV(_zmIibVo45kWNAS`$vT78eIK%9xy<;i~I135C?smR+YH%66I8 zBaWaSS2TFyK*L&8DgK%#wxOHrs-rJvclCytT++@*Jv=t={olo-z!MOqE96`3-q1I8 z4j9Z3%UCrHgeGt&w&|Im?4M6#fzy9(9`l|lr!KqS5KwYGhx>RrAn;*!m)lnwJr-E< z2393SMekw3*8_Ex0$Sd)n}1{; zk|-3*fKxpE5~M|Si^02DLrqnS6tqtG0Gu(Yx)OCOdt*~Dp>QZvRv^3A?KT^29aX>;Lgn)VXhgnEp-U% z++cu%+sj@QOUbg-P=BGI$wi2!`||;JrTZWjclQ2n>isIK&>uVkyH*aB(l0ZPISSFF`tyPN(nZeS4(M63S#9VTG%?^F%B; z6zKr&5y@@_k`L(kvUlVlr@ydJQJwpck$tV**76$^1VtE=iuWwu{7_lx1W=r;J_^r9 z{3`-P!{cj&(s!hH7GPRyWm-s7z^ng?+D9!Vv-#)^Y5DN+9`5-5)YP(p5dvopiQ^_y zowY=V&!|zjV2j(wLdX%re#Erw(97!jZ8$7O|Nh#LmR3@ARi}g)?fv@mZ4^iN%Hs>H zz~|26lsBiSz-861vYJuX)+oHzt!mCnL7eBP95H8v&i`tF#A0haYp2@uo7)gU6~5F| zIEwP9c&QZy^8ln4*Tf4h6)#OkH3wof3n_^s5DTUJk`&U5e>`C`>j(d-H|zi)dsa6S z&S{Y&lJ%{44tA9lPc!-7(vKBlGoz||q|$Gg^PP)yZ&mQ%BRN;yTm2FwrG5BB6D}A@ zu*-E~#A~U?T7#xbvJA3f|0Ypx4N$VF`95FLiMyZLV7T*O&<-1{(+?q5p*2yJe`0zH zxqUyZw+hO@>%MKy`gk*|D#|u4auN_FF{P29{!xIShd8Z}wX6pT7Ed$+`7CS?am0;r z+6cYrWk&7pm^drx#9*4AB-KVp<*iKgSZuM5PfU_p$daL-28y%OHFf{7PYyo6+|DIh z2vC&!{j+Hj#e)*Po~nU-L13hveJXc(C}#JT9_1qGq9VPtZWDEAF6B?%aXfYl=Ra>f zhncXhexc2emeDdz4{y(;+ z6~(G))F!HkLIgcuDF~u_JfuDLX{(EHmYdaR`>(>IB@|NgEP!v)&4G-R1V`8SEtK$R z`lEe(KjXERe!B}YX(ME?KmNizHODp^dPIq2crj)Wt(5JElZ;~Y#O?OSU-J;dY7@MXL``YMC^)RKhIvOyyS&y=XjmU39?(@XHEB0xBuWB z(3;Ek%XiDRW_br{P`2pj%U;EBx4SQLt|5!l$+WL9Huu|;CS$a7*%8T49?XZ2epwUN z7YO)44DXRv*5@%`-yc26u0q0N$|_>q8tM)EGgH2qDV2TFs|l{a@#A(+D{uuIQz!^; z5i4^l!`{L^8XuF)YrF8Rush(pN-e$88X4FT*D3bYlQ)^{e%a`hVE0KrPm8EoAuH$` zCPiip>yioqE_Wtnc|`_3DOwr*%ck4>BiEv|(?KF(aeduy1LSkNDfm@` z3oSn88sCGk%`T%P3r_j;Oc|d#~>X|T{-ojqyoE68(NdT)%<-cM1RiCCFO`(#vLIoK@{Jt9!^U=)v-n)o&MWD z4e!&e8~snq%X?56ztqN?TEnK>9dD*kYqwFL^B zTsBD$fAdgStoQ4{!8%e#w|F|26X=VoO0$$W;-F0X4l+lo_^9L0MFp_Ux!OMGakkmP z)r^YFqdMl6_zZ%xy9>yNvt(6)wGp~DWWx4@;3`1 ze_>xRl!!T4(t|7+zItZNl9si;#lmb^)$ef7=jm z%ht&O)J8L>76Y0u(EZ~H=g`2Cmkgl%9(c9-^yoQh^r8QGU$ZP2IHWFa{VO>9j^JZh zIQ#RIpF25jBpd!@mlb#{8?naqye_!{Mpb04#zdFHwlCZ8Yfck!QBT>87e6$kM^Z}xcBWBv+t0t;m0$xckfXKHGa^=MeNW` z0YPPa6>;!i!5(tt4dRHB{Z1_V8DF6L)$)Gduj4?q zOg##zS(qf-j8I8$b9fc-Ue>i&?#VDzd86D?<M_ydEhj%OE zp*xW`^|;Lp7&R;RVkk9>IA@JHHzV7taI%Jn8Ca>xkC+T>-b;GS<1JJAtVX>@f&^Qi zkJmjBHx-Uyqr2iAt{lcC6U}%4{O>9mbup?lJ2nBKe6oVdgZx6voqIp^U|(*huDTCu z2$5xIMMHD^{ieEknd{a9*__P+3t*XYkBgyTTkWH#?4358>F9KDZFa-#2-wkn6b1`` z;E{l*`znMA|E#iu_S&zi{{BkVO>KpZ(*p1*L7~%J_TX9+m%~HS>2MinkhEG~r^_$0 zoK{x=_vsXw1A|G=A|50k%yn6def)iR)Ygxcus3E#?Bg6*l_Jfi#>rh%R+rnp%@xQ= z4x4SZ1RrX&QLvG)4pDNCEJ*yc*pBmgOm3HpF5_1n)Ac69Ig|j1p_aX{H?X*-Kk{); zcRvk(j`BXP1cm?a-bO3Y53k|>Hfy-ndS}J`YY56!r^1H_nt1n40t+1E^)~ylEzZTU z-AJgN4((!)D}PU50uOf@z{W_`n?kcm27#)QtOckHpY1=_hie`KQU?^+6z5DYCnu); z*&a09e1yPg?-hQUiT)hU`j7?$1DI$(lgnf5(2FWueoLyeGP*LBK5Qy*3_mYX%nX%q zO$NiKqy`zi7w6rz7(LFeIr6S?!~rMm9C1aN3ZQ{its+#rF(vF7 z!q_puuV5F-!+^2v!2}0kFa9JsEtE%OY_bvYc!Whx-lD3SFAYR=n}YRMa2ISGNq*=G zXx*U7%;4g*rHXw_Wms{1MGR78tu@x0-!@CTP`YjFecL~Qf)|kcO)hFA8~t~ahnVBr zq0Tq5xu1 znafCEMlRavHBD`BCHB`&;~c%nI*UXb#8Q2PDMdETN@CaQ-zbmMT1^K*4J@P)_YBrx zJ@xVk+c+*c6NHs5K8?eoh#^{1Ga)9ACL1%C2Ig@Jq! z5Z6ad93azWDw|gh6D;`Qv!bseOFAtaO=396U3hA)()UtbsBcata zDO|WER_yYi;@WTgK|pv$>!ZogI74qm*`Mbx2(N2~OJPr5BTI9P0X3c$IR>n38-Ijo zti+C64DRe6b}w}y!NI7KTYV8o-^>%A96*yY*aDv&2snP2+&M@F%3NA!0Gnq*)F3 zPgvv);~Uf2$*Ix-Qs;&DU9Z4WMV4IdJPF@Lx(8VqGZ8XSgGW8%=^bfzMCs5!JES9gH7G%bMffIF+#qsM3@ix&ML{tgX^BS?(+ zxamP3uuZ(1_fEDd3h4_@;BZF>3Lh94qpT4hLDqm=u)txSxJ$5;)0|N2la-wI8yRv> zeqwakDsm-A`88__7FP1sRm6#(2N7n?rQy zgcSfHb?*P#^7%^~|EY9qLfFOne)#k!s#DZ>lOWc-@55DsPLjYOI*Bae zFe?hCOtz+-XUjxy5 zu}mF2l!Byz-U7#}uVWPl779lUPogN>(K(mYqyxl-uNGW$kdY88t^gJ3KY7H1Kqy8|uoWV|IYt5Hqy zo1_f*3@VR8PvKp4&kSDYwY0qQ|GSA#LWb1a9_SW&Sdx8oud@jUm>J14V~-+G=;QYX zS4!4rU<<*egM}xL$q6xA_dy8Qg}J78?B)MNgy}cMShveLQte>TRWn5{!iEf0#V7Vp z)Lmc)K9GUUoL-1W<}%5X^owyP5tFFFk4h)Bl>3gsKdG^9l#gI3+c38+snW+XQT|BT za5WRIwnofu63)b001b(2xIh-`TY;WVFuO>jMhRN&!hsC3mW2B00V1^4?T2t+*Brph z{Qd)pMH@z6B%_vQ<=m3@&7J9cZvOSok#`bbnBTQ~3m&zb=@?k_TNc>)EJqpwFbk=5 zr%NtUmiCp1!G)&qtW^HxvXp?tQ;lzzxFM>ISD+t!C^%eU-ky#(Ek<|tpA$IUrtX-> zR#;SqzI9c@J^~gkYJ0c%<0W_GWMaahU%hD8^p{1HJbvuj^0;jSB$+VlpzMa~n_$+^ z$`uaE>O87rJHp*!T@#IH!8fss^1mZ-jLy&{VsYUevC+3d+y1a*bdG^X5ZyO<(r-H4;F_VBx3Z%s;x|JDitp2S5H_L&ngC+_erhb3ayd%L)@=Tvq zf){kqxjfjUFD^wv_RazfCFy0I&|T7YB}#SbK;@W34F-lKoF(hBxuoKhZvvtb>gQK}wT zSD`~&;EUKTYPGAuw%F$j_R~$yrFmHh2AM$+4-if(E9^B2=JHgg9^p0yC`w*(Rzy_v z>^!v-cKxp4J37`qAt1+V1U?K$UwS$FigVj@MmsF?AFEZ4Sf8rW4aU$DTI?k_+$8>! zq*rl&7`ZnKsj39^}nm-R#4#^Tv2y2VM#ot9{D!JDG(CN7Gv14rQRY34j zDG_d)`(IGC4}Un^sYD)$BKhC?e#HY+S z!JmM;DDQr#aGv)IsbwcC|@v+7-O3O$r-4lnPvBxXY=O zC@co1c@6McH12n$&Z&X67N&mSVQUe}7lp#fKW$!lO`I9tt6DnfSv5_>dXLGI0$q>! zrkJW#sD6@&U4@V$qn7tkcC+`V*D1|xGfSYr$S%(?EW|`npdUTWruOH&W4M+9d*Nmv zC{BHH%!WChaA#&Yn3g1BvnL&(XNFTn_U(jKW!(4HYxVieawhhbB=HVIwplu?Q4#Ien!&uHnI zU9rf9oo$Uv9pImDz5zd1G%`K% z?gtiMFe|?rkA|6Rp#tHxwS*F8rSeS_qgxQ8kfi})P%OH%F*lq&t>s=ZF`twW;A#h# zF*QFv^l3=$2WFv|l^s4i&VS%eGGJ)O=KJrKw@u$l2PQIk^x*Iy!)YGWZLdhy?l%kO_w_XgUYH;v$H_GbZacfi z@%^q0$3_Xa;%~)^yT%$w_!|Zjv!t2Rw8nx?#mQDPNb;dU0kG!PJs_Bf4GgUZGvtiD zl93W(mN19?TZxMVLJT0G^gxeCO3)gd{Nj(aHif#31P^68y2RV~Bxw4pwHa5$Mu>bS zz8@Kc*(mXf*?VJJD4UNxd)=>-@lA|*E1UmBJ8`xkuOt?HnZ;3MBr7-i~DA z+F6KW;$YO~QME`rpmwkvLo?!BJm$c88o+~mh*!<3_ePjdyc+ePrpP1`5Zgv=26!yRos^P1QI>(&&iG=7+*MJtoJJddIVo5Q^5AJBYwO{*Z}_WZD;w{&a~xErR*lZT*&1;xbXJ|}A+R_b8#)62 zSv?BBq-}LY(1@965OwmYGAG8mBZt5Qg>I4tH}`H!g^jJwagK4(tUgjbUuhGmVDzLU zu+|oA#o55~iUODX@1y_RXZ_hdY02d#-fCDQFu2Mzg|06{HmG%Ez~A5_$D!B!5jukV zw!KmZhYmEgP1(Uz7Sl&Gf3UD)tlhiB75Kx{%M&V_LSxX9 z1G!=ka#@DD_{Z(q2>Ojd79+V7IylyX-Z#5(`w?}$lL5Q_u%w~2EX{W)pZ~}|pAF*I z;(Knpe{~fkh~G(jc+2`!hWJ#>$78Z4_o9s|uL6jx&xvz*1JfeW(hw1c46>z& znk{us%-q-r#IG`#?S9+Pry^vH#Kg$XM~g`}yph2C#Z0sw-xJ+j{x!+HKOTpsTu^YF zLnR8L0Y(lxWx+NAj!meq+GO(et4(p!E@bJhbF2?r!~UAPvfTmS3p5rbeMr>f z?C4M~ej^w3Kdl+idla^}odY}elE1ja|1_QJ zY5f0Nys4iJ3#xreNQIkSaNKAImWp)YGoxQ%s__TM#WF^ox?y7ok%Vyhtr@S|R^lSX z3hWVoYK)9GjgyoxXP^x6Z{Ba$d`=2N2Zw0WHQf8tC*!{ESnMZJ{TiJhB%;nBDV{Np zG%_jcvyQhD`8C5>3ibN~wt$jQAJw&J_Rog~^T(=|-;SK&wLB`}+~E*I>7TEazxwfg z8;g#V5wKV%15F?8wbsMJkR|ks*?T$|FTz*7SaSO_J z#)+DYJr^$bSjP2*X2cUM`KPj;N+J>%M@4z7NV*p0TMcK28_Xwzs+3RJ>3;|<`V61{h;(~Y zNTPP3A<(R)hHJ-+ANhoq!oLZ^v8Zo5y_HXA0GX+rTkSGwYarq+8N$CJbh)9o)PPFI zlg~yd$j%sSblj$X%`93rc-Ah6ZQ*Z%*M8x$fXm`K!%4Hpcy2b z@^s{Ca)9t*&<2uJM{&yQwItO6#U0W0Oo<|)PIHaoZ{#%#e;}~NTrLp^vL*WxSBftez#fUOMM!5ixXE~Y|SsQF+ZIDLWcwC zSPL?5O?r|_w?3*-{v3E-Efgfn3&cn>sX)stx&ZSvDNZOk5atU|lMCStAbUyW6EBPO zm@0Vb^=7aF4<(CMneV8#u3yqSw==<-xsTqrxw$OOp94V!tB*pX(m)2A$xz6ZjwM~h z3q5KC+B-yAaJ*PE8K=|hR$Bs?f{;Qe4EL_BFW`%aX%`;Tm)4{i<)J&qtwEWYASpv} z2@(!)h@3Q~s(-RO6UEnz2cdFrc#edmBPX+~Oa)KM!k&m6f|H2a$ATEdg#8}>zU7J1 zZ;YA=+Q}eT(gwx^Q`i)WvuT9`@zI39$$Y9>TQ!Uye|0&Jfp%?SsfFSeiOEbo^w#)m zp6Z`W;$|8TJz&5T)c&M@YrAwn4huR?(KhR)5!C>pg`eoee@5No ziA;)yB`LVez-p1wge0pNdLWA^5GYNK59l!}m4%ijlV@Q&MhM)%L(li|YN0l+7ajsc zWk9(Tf1;Ga;8@e*kaU+`&5RA|$Fz}u#hKqlJQO6@jm+%G#H>Rv5i?^)3{U;KSz%nd zH~I7ez9!S=R5tzAVLT|mH-`Hw853QkFg0>RSxw`Z=4Ot>ZNvFpf$oWA7mBJbaZocD z9)0njIu;he&ba*1#6i~%!JpeK!~e))JamzTdF4BsbF|~{z39UEK2?r0i-5&+2j6AT zb>R)uRevZ6N8KGR!>#!sM`VN}}7cOOK^4;t%1^YY2yH z0_tI0KOr$X3tNqxMlLC`Dq^x_3nme~AV_H&XSJ}sCl2E0e(bCn6(EtxJWo;*jA}9G z<0c0CozkD3duHzscChhPD&VA*!diQ?eRg5>W56}5Ug*g29qIr{aW4t6x<*d4FEm7Y z3A(0+;*Dhi9f-6Ha%^AC)X>ck@DShrg>KvWnZekX&Nz2YdoTt|n<0Aw1_oJU@nIxT ze-OgZYLiCkRR)%NQLi)u{1Zxc=651c!I^-6YwPbt!$~$@O>o7oQT(26yWcNh#JJSi z>sc?DlujCx{tOZximyWKv5;{{_$kpy~qB@+*AH#7!? zOMiBcOR|^=V7X`k$^QiKDj~r!{D5xOtp`+vbW*D!7F=u^BS_vD*^&Y{zJz+i5WzrN z?AbOBlbE_*2TQ?}wluXQuR_FrHrm#Y_7!@cZ*gj7C6?8Arnwy#@fn7D|M zk`ArKRg5jieU~FW<8^n1vRac}kmbp2YYK`3CdK2$?ww6>d>PaORTs==d!}rqwZpVY z2n4_DZ%9#DYR$F&>sa23wDqBt7yZ0wL zU*!ZZxyGVV&`kG0My@!oEL-fBW5LN{(Z6ZVcj7;7r-N!1JD(vC7fNPYn7yMF{SB>n z`4onVZ+2=e@u=%8mp|}`i1@eze7evBJL9Oob_0b|CB=_ESze6nihksMITQnW>*9#a znh0R#+DNxb#abvAdJ_tfmO*7e7!5S8orpUnFnmv{@gKzL+=rSnABHq7A?b_b=FXBh zB9K@@0n-OTtIkvdWHo}9Y1wRH#FI6(D=nfknIA<7=SB*+W6GjJ3I`7=BI@Kvb#CyM z6wQYz*FJfgA$z^o<~8R$p2gf8r<)^1Nek%cEL{`bIP2VJfmRSN_Whb^hk(!ME;g69 zS(FgsPU%*!gYBcwJl|N{>d~EI0I?@-cw}Z_zZT*I+XJ7^u!Y|gfDd|*lGLOAqz#%& zN9Lhg)nO62onHG0pFpG60qSL8S_Z3!-GTpSAFQaHd-#N4q~)>m-=BV&0BRgN7)zOLvp3h^u}SR6r=e3*zD{^L8jMvi^O0 z7S!kOwDb)_DMwr<&*Sua1syM;;U-q<7eA8upPJgd0i!5}FG+K|uNXdbEvpEW8Ld#B zmB%i9o0S)3>!pFGwA*k4!vqoV?6uUG!MQ27k9pFihO`I--J|H2(A&I=g0Zm z?E=Q>zr4UHW)|1DnBk^Jw6nTJ#s9wB_Ex4^pT{5GPB(8@Lfc6r*xa+1gRM95((C(D zEsqMa?|sE8r{~{yzQn39QdQG`)-9?z4kx8 zg9AHCPBPYd9Ul#qV7M|BG1Qbp3mnbj&VRW&A&Zj0!~I2rWCBklN#ohOv|~FwJQdJ;wNZ;Th`W%QOnh{oEYS283j; zPA!0~8Pia*oQlX_3-M5a83;GpLp0z}mRZ~Rso{l_N*}j>Og{SkYq|7W{&iGu;V4L! zBQpRU-Ue;1qJ%E}gLa=}r%;V%%htxb=6y~@dYBfDi2gRuar~I^icR=4E`>XN6q62C z<{z!bk5}p~H&qGVsEyB&d=?CNZ==1nR&w z+LSE|V-kLL!nkc5zpB!wA#06eTt|a5G!YF_lJ~p2b~sa>OKzwThFu^4eL~T#Gg(Cy zq>q(AIiGEg#TO@5nK}U`*oxtX>Fupsy5`Q*MKrmSDoB%i=%lvh=D#dB^7`o#r6TVr z`Rumh>F8op#wSe22cM!u*~h>tGYTg{S`4iWJ@R{#bc@>jNbJ`Tk$l?WUZdWxeqtgZrqe?E80jEsuCa$759%aE!HC zG~y1>;d35CYy~HkAVStqq;!c=&Y%Yg&T8YKA=4Q>pB*`aI1X7KPUp4-v)_kY-sdy3 z&v~R&z+HSGggnIAW%Hgy_f9G$Q=2~em1J~+sNSAab`>RJM24(jo+wsG{H~dv<0`a) zuqlvUiWC6lK*kn$ic}Lgf{^#a`e&x4J%llrD;YlICd{{RQi@qVG1_55^hUPN`rc?c zc=HB_S`>Ri6vJ$@ZvaH_xf$nlx$Moc)Q!FOevAhHTFP7^Zdyq_Ncnxj=)S=*6Q7KX zD^gML#wxi>-^AJ}xLJ}6>bl;WN_Hk9jUTHSAx+SkO|dCu22BYUsD4}bkAY9K1c7G( zcWDVJf8{Hnlh`^k(6qeKB=a!_d|s2Jz^Z4$x@RwbH|J`DN2kABX!`Xf$=&?8@<1x6 zg}%iYp+b8B2g?qj5qg7Vx@Z@EYG_#emw{x)1{Cc0$xc90P^jpsR73@)HVauE9wQj- z&d>maZFPQ@FNITCpiY=uh1VOegH&n_cjK|5(n^cY(Ix zyP_e}GKW|fQJBbHbdcjo-tgtqy4oSSvqCX3uj(BiNcfBXP=#-uNd#{6kNFn3NR6!_ zdHR0=kF!Hc)a<_%lNv%~&)aOm4}Rs4?b@Z{Z>nMCjT~fDxoL(N6lY*-Q_lV{uvMY7 zY(y%TtilgF4gX6(9mI2ZzfYCmWKschadq$b6i3V#cv-@UZ)moAuO0vSYprRKH(cgK`Ru0 z&#QIcwqzi3uJc@g6EK7GXWODC;J|k4^XTy{YwL{?anrtev=`-Pyy$nalV`;-78=^f zs(wCa#{rf-Z(CiPtg=Z=gcME@Qwf0+aPoM*9(0Tt(TR5*wXKi@KIcu zR##6VQjsOLWCdVuQTj|3nh#k!HbNu|D4}bM^)({C!WSpF3blRg&*qu8udPnr=3S z0BwqlZH%^XTBuk!E1(Dk#~TdHISyiI^~Mxp=&!nZ3e7uAtWW4=m(11Lcj|}d%*f^E zFR;6QVS^C}P_>@uNn^Nz@7b29kqn8ZbCNuo$}7RjKS5Z2n#+jn8&11L4R!d6BVjZt zENZ!iH*EYph?XUrHY*igmAsJw=+FPO%5AXnbN@t18vekb4A<04o+bIKvr$Cni_q5D zQ{+}lIO!)Yw2AX&pa^!dY!Q~3}6=F9wV!F)F!+R3WkUVY(**x=@=)iau_;vGV@vnp*~e@&?Js6yE?d|ErYOST3@UB2vU*0A z5jO?|T1qy(#8mhKqy}?d(>^JAOn_*@aa+a4cINTu<;>(JoGI>i4QLzkcsevJIEB4} zfi$>$*nE{;WqFMAuEr{M6KBcfNo8N1*?IWQq`_1TSD%MUU^yP?L*k?VXPjrvw6t*y zxvlC*4|AGweJ_W{d4|DW_JNMd#=Fj{h(t|@?C=RoDSOBL6#Y|&%f3+t9TU~Z@SfL^ zU9)i$%+*`rhxH{3ef3#(`nER~ZefKyc z1Vy)^duH#Gh3;yzDv=bJ#?M1vYla;?x zkP>~EOls0*g$Ibk*3X1qtQ6;5x*OfZ*7zLQGWPi+{U4z}XDjgdKQw&>TO82NG}@xY zrMSE6F7ED5vEuIT?(VjDp;&R3;w&x&7ARI&iWhhNxc9!_UpVJUGD#*gdy3wMJ}jKW zix?$mFXc7S+Hmel!7zV%zm~T%53F~DF%%C`cniEc zAwTJT@ZMT!xEtz6xXB^6N(~qO3GSSxMi6z=hGs07N_nmIe=KbM)_?r-ab)XJ*Cgmz z*&3Dyoj)<1!uWcya~e}hs(W)yzll2qwFOa~mUwB@70xlvrjW=MYC}UZY*#RK5{M)o zCWmw+>2t8n+!kkH+~$gw5+fjMMP(XFRAr)&7nS~;T^pPVkXN^`RbiK$eLNEmnG}o`y*oSod?F}E`DXW}GHL{;x?{8!)I*;Jizo=* z6HR?+KsNEGW^u$*QCU{|uq>=ZXl_;&0IOcIZBKoI5{9b<66Ec@(tT0Sz)*daPrrGV zIo2);YU9;+DHqTym5$A(B*H7om=|Z6icIXR3|HH4#bd2bI_99}DHJ%0epql-9sjCdDY18r69g!S7Uk2PN^Y z7%U6fLyi*HjPR6`eWPR~*K~{WhDoa5F2P=I*_ z&4mkp%CjgJGOkM~Z}M^XfE%_^uLUgL5aQzWQ%NbUk{41#C^ z{!L&!M?~vnSaduYIR{^zy>LB}(d-rr=ixMjAkTzuRBiY?>_a$~0oc6RjUAK`TXy63!ciG;5=VF~&;EQWe8C8Z)aAXYJsamATLr-f%M^D5{KQ=MzGq;zTQd-eP8&Y zIt^=W@%-~$_?Z1IxG%yqMAIfD#BWNFLsqW`)TCa*1^_29A_YQgOrCj7ZV=f6x4CI6 z;T)kL=tC`K7;+>!qh5lvOv-Ix(lp`JGyVjXX-zWiGiQ2C<>0Sm^h~xcQxW?qT|Fth zjwXK(&pW_gA;)KTw0^XCw~LKUDJkN8E;8)-wnmY@j3E+B>`0{v9v9aq)daJd<(Qlm z*YiQV*DS(H%(pH7t-GOuvxfucw~I1t4JVoEbB$kY%Q=Lt<1P59j9GK%7Q3L-#$&e# zI8{h{l~rx_%KDLLcBL8oFtg8&w!=kEH|g55G!zJRmzPSi2Fu1%$_v^rA%u>o9duD;Xv@HOlW|uMsYP^=%7iIOw_#UA7h8#X9aj5Ekn8nz zKGjBAuO>X0NK1FQ?Y4~}#4|5Y2}e%&(+siZ;pZutx#UBv>Z6a|gIo|&(@R&nXya%i z8HKH4ZglTnkHEPcK*4vXRIS9AGo~vlZy!v&79(lTj3BXYtzqR%~|8jn^XYYF$^b$pYD_AXL?=m&t7RC85tL7M~XSB}FWr~=(l+-J-SFlc52g{)f8}-Y!If6#QhFu3;L+S6S;BV#e zODQTRoUp3s$hXvaV`hP*kvg>Hrd`0PTkB4mcFBk?r!%S&vCh>nW73@0d?X78QBJYd zu=P!3#X#wI$ZBDy)=!VBdQ~au;i4_1sqX04|3HP{!WRhFg86e6H#S(Ac8OF+Xci!U(!r!0mq=>t3PHcZnv3K46qi4#p6 z)dn&IJ&jfT_+X6a}#?|SWvt15W-bC23-Zl0Uh_vj^c2%m92 zy+|Zm;q|QiX{iZSY@>vdkN|5ZUy_4MKSCG+-hi2TNi~>$hzqw(zHOdQYNaVB_u6=$ zZ-+*xSsbsDq_sHF55IFK5ol!zKJOxu!y7*%BqzZ6I>^8R5B=1MnV*$sIWwco3A}`LKkN(AZ389oM^NM|7(>zvn+z@j&m7lDlLDHiL%VR^t zDCb6Zf~r~9SlMS|dB$o-3QJ<4@(dJ!NasaTZ30&*gNo+_KYUKB8ZBZgdRV{Eq9g?s zUhWsun7w}ukN>}f2Rb(L{FUS@Dov1yuVJ=*oMwrgp(#`}o20-F z8(x~hFk0Svn;YT(c@w(J9fzD!@O2t3+XF3w9(0Uy&_jf+TI`h=e(*csoC~pMy`e03DBtIBMg&Do#4xkxJUYl#+FfW`^0u_UNR+lfCCvk9RZoGTIr>_6;@Yqb~w4Wy}O1UMI>9zHYB(yYPGgZ z{AOsIhP>`}CBHQ#_zJf2Og4@|?xL3kqC?ud+kXGs(V4VdJR7i?Y^-)u&Auc6eouXl zAA36M-k&A+IV+7EL-O1? zs&|5B#uewMGiuhgvcx>6jL!C(=@b*CTL~Ls_vi-3{ixU0;BH6CRPvB1$Nu!*y&j!z zJHNgPd;ffL1x)d^9YfkdmFm=A5`br!8IGgFWpFQtEEftZy_cfdf6Q#rh0t+|_Hy`2 zT2u*C4%|9qsUEn-;&WE|BYHP^O^?@Ikx&}U+X(~O29kOsIE^A>Djf~i0OVoj8krZ% z;~fBIB|^p3uTdS?JeCXF-!e|(GDwRK8Z*y4><2YrdsZE%B+FYf>O(-bhS|d7;lfu26fVp9+d_5`!w_c2mcP9O4J9k#^$+2L~8YoOPE) z;fi(n8}75zl-giK%B{QonIfgT9Xop`hQ9ICZn%Yb&^{(a~Lpf?h%URok=75-UPJfi~uD`}qgBs!n+dt&|ce8R5(!YGC{`>rc~T zL#Pj^L?g9<);nA`?Jm-8+Ri6Yq=}b{tk(j0*^-u9rfYl2FiN7DCIx+dR`-DF~eK> zMq%E4f6WmT#fQ5UU0t!O82V(*q_IEF3jbn}vu|@0;$j%Ud9CLIYTQ+o3|6cXVtn$S89h7b1%C*{n z5Cr|Wr4{|V=ZbQptCMRpOV@weR~ONU4X7!CMhWzv#&$yMt*UX_dPWZAkFf9HkNIZ} z>;5SPO$*t&sq@~9r`}a~^^SA?JfAl6bN$eO8lfn>pTfx+UEK z&PGt?M8-xYyW>S*6qH8CBomiL|YH+6?S9N z+H!b1|2CIV%&SlOxEh$mnxdFnajmx84Gd#%KDECc!uQ>_`Ado+%_rODij5rZY0}4^ zTFg(Aw9Rhpg52em8qj%wuoRqlc%nqdDCH}C}8MpGnGOV#choKENV?P23Ea8UBaiXx@ z1wkmPAqKmoS$j6S0Z!Y!0(->*GC?65d|8Qdpa3Q{M5$$8EG3%>MowSvNYUU_AD?7;x(QipTyb86(4s#!(l#K2!8yg(hr zwfy&4)TVgx;4HN~jokN6Zvho6nQ}pTEyjGs?WwAsp)#p~mVH%ir2Z}wmWbdRu~Xf>XVLDhr@4)@KprF-3iDpelbYq7*_{LU$ASWg>C;K1M9}Y4){?b} z1U${_XBu7omtkC7&tVVg@x&g08KL-xVMVHt#SP0=1awNDvzI@xeStVVvdgA`nEr7I zpj=JDrcHYGOOoMOM$bW+hIQBkYL z+lN3^8vcnO8IgK}gOzs8C|GCTy=ty((x2+GHmi+z`6lSCf!x%aG!QLu^{?S`ZU9?i zMbAaIR&UX&h@LCsPiG{VU0qYqNTXoI#HzuFEUcMD0WCKes?LKRKmk4v&4IERTjclTPbNWLzx2ERwRQ2Y8vaooMNwh*mFxI}R}$X+%E-$*qu9a7VJ(Vki)0CFrV)XY{Rf4aK4HZ$ z=F(^<0!F_+-2iTw0Gp9gE?E-rmy)(62@K1=I9|C#^& zbYGyVMPzGf84@UD$j7!%JsU##t|CFuU+cI>obR~Cr|f=2rOq zb*Oywhhnf!@7Iir9I@&8p}P%^wafhc1AM?reC6O@Iq5JsWq79;Qg|lpo)~u(9{m{B zSb5wW{>0Dzs}70J)e&+$;r4#LX-=w(vv#+Pe44#dLKCY5=EM91vIvr+V#Q49U7#xU zDI;w#zX11fl(MeIe5F(b;IKuY>`)YQ=acCP0E<7l6)H6d@-HwphN0#iuGCWJY~|;n z*Sa~FD1`HFX&G^vf9jP~tr7{R`T_at7l-dnpiIF(IzK{)El5o3M@NjD-7a6^@xgPU z4*4%-%8;N#O{N#i-!>PAZtoZg#M0qfO0_i$Of=NL7Nty8*g3#pQ|LVn$-kSoubg8s0BsI0- zOFKyo-ko?OIy=^}LDWk&r4Hx^UUK8K;yoBt+sUUvf0kbt{H6ZDYw1vguh(j|lLV5C z5sHc42LUMlOszJ{5b`UVtKi}21u(TtyJazvIny#fyK0QofR;H@v4~|su$&Z~)^#*B z()kD%g~Xy;C{FP4I3(n&K`czXiE-v1ox~EX_DH(d$z&oZ5x7SNX@32dGvCu+kh45mtMU4>u;WEh>PRLpA?q3-p?aCZ>L!DxJPVatW{kgj0xC8v8E zP_fFJ)P?yM#}QuLjcvKA)=Ir}G8)wYwxw_FEwTPJi`{osw{HIYI{}@sH&@+znFObs zy@1{r&V{J#NN`f`sHmo#<}niA<;NG^HK*(YMR#9x{X^D8fip`pTQxND8J~_LJvaR3f3O>&A1LBMI*&$|C%6+5?z5JOg8{)nr#fex3+ToAQ7?}*_{ zX4Ks%IFK{WcWr zm#kkMsn&k-HKS6nJi7w9u9}>lZpQpw4eCVj&(y<}eMf4YF&8tWCG%H3_4w_pms%zR5g9jlk22?{rc)qui!04Qc1!?*2IfV$ zV4BSnk+upcos$c^HU0JZL|xn_C)9D7#jnr*mpkp6o|}kzx*=Y!6hwy}_T756)_3a! zm-DhlY~uxK-mYEEDFyI0?V#6u<>_a-K!MProEE5=u*uUWw}yfkE0dy?OB;Uh z3manoqKkL^HKSGF#0hooPn*%q>w;%I32hTqi+ z)jk=u9pjy)R#)3 zu@k7Hq+~lcY~=nJhU{^8Ui_gTfV9DimJmW6ZqWmsY~*?X4vTwU#t?X6{uTzpw)B>OW&oH zv$c_>Sg6zrdgur3czbTFx86dNU!r1^(!cE4%x`PQ+5nZZ-x)HQwfx7649y7rtm7Ph8Zrkm|uFTcYo- zyhWFJNH$Edc4W;;O zu)^_c@foMDhg3m00p?*T7?AqFKtuM2Dr|E+a>I3SJMn#zt-Iyih@FVe|KB)Fcp57% zIVK4SKL+d8lWzE$zRjTe(~n&)>MNWg$_8W#5Hm_tu0S>AANhhmAJwjy?lwoJaysMi&?z!fhiUdPKc&@& zW0cM9%a{UT&>Ag)FlwXe&_GyaY|K~F@`P1z$ zspT;W_#vL(uA7Y`jemKiDT*VzDFx|vR!GE$3^c*i(IlT1gP>ESnk{bkfh0uhY5Zt6 z_;@ncE9?A9^NKC_5B|sD94sMD#+?;&-F};AT}u<>Bz0yQu5f@4h5JOz zyU9SXsVqnkK=``C{Pr&G`Xu|MRth?dj*dR7q<22(HeVhT`u>5cBvpOvcp1HMCR{h%`>ry&%Q7IA4`HTQxSYJ&*A=RK(q86+rivUHA=uXTdM$%Ybq< zc1zk9$4hlBQxi{AxTThR#uTXQC3L&Q{GU)ZrQ5{Hyr^E#_mHS*m&bMlJCu z=6|hA=j4!XW(iq?RDKd&9AFs#7u}r#2nd_U;Me>=a%b($hDOtNq6+(HGR`$h+mmky zGwc*?wFKMPBh2duK3g(#tVmS%A?ER3i~Ee~#z}C2EgEWWCV(hAq$qus!6#Pi4+?>L zWa+pMcv%g5y}DSzUO=zYqr}GJ^S!>uyIdpS!SeaTKy0gY2oDd>Iv@V4sfX|p-S70J zd*Zjl*aFMo*OSxLmvXr5`ptlyKUN~rnpLtD?u7a;#5m~20{bjC%Ur)P9|1W4kL4<) zuYKC?*mniYAEwKC0aZrZ79_Q`UgGO3b=sT;#+ArJXZIr*%ITO7wi5$(xxB;mz^)Hi z3kBb9rDn|P6r3G-qxm)C_tmwQDk}GeRaezaouCDO2fv7Fs7UYlEioYyORTq*I%Tm{ zENCspS61pFZrEA#cFH@$f!88U>wGyfMKQ98oIsd4pJdk?r@k$MF3j?IR{C}Hc%&O9kFt6{q;L0mp|+uM87@-tL;Vd$1Qp6L`6kepUOr- zHwr{(8?eWu2W~8Q3u{MJO{&@KWGWJBei_GQ1cZ$ z?Np_t@I#JUt5}_lFP3MJ(b}C8V4=JT${ge?l*K8K&H|dggw=_xhRI$z*Pgz}7Wu;~smWvfs^^wDNOIY-Yc*V1yu#Wk{So+nH&|DnVsVS4@t>G%#X-$GjqLW>nW?PJ#7^^@t()>!BrjCb^!MiWco|tdu#+;`N_{ z(?4?I-C{07U$gLY+e%Paqi|-E5`VcE_PiUa+~EFR=s214xvrK~5zz0$PQ~>hCgS9KA_(ZjU!;m=A?ygBiRUleKYyc^2o!F}Jp_#KK zRR```hc|wXke2PG3mWDqasfQ|uqIOr{9k*x>i)17QbB@xl5SYh>*ZHntK|=eMD$KJ zHa=}%dG7@Uvm;gj&b^Fv6!HZMhu;{C%?NQRjk$Tnrdz2>viRpI$OF)B{wh0NAcO^8y`XhDyIP5o4sIef)?9>UJ3rDDAF8 z9U-^xI@3{=&aqeO(^a!M(YP7n_yl!P0+$h6BB+=S)#*f5WklOECD;&2E9F^6?M$SG z99*Ub4Ez-A8&a?|mfAI-qQH*vY+fmMq#owH$&Rs_wmqhq8*T20Q9WQQ|l;K6R8>f*1T(}Lkt{(Tu`Y4o7C_Bwa zS?zt}QQ=NMum#Wp*rEpl*R=NEHWt)OEZ+5 z)H-)am(qYG7A{41BqNwAhwh9-Ih`Q&?&Wux=*<`n^8^5dZ*ixb?LM zL-x+6)YPN29E`7b6GDf%7did!T?w5?HiUYDxIq>b%4seFZ&TCQEp51CS+PCcxllFj zNJUw@{~$L<{1PC3uI3!4VRAuJ2e*I4cO0#t0v*7Lm(3rW2hQ?>fK#J z5f;`<+;i?c+RlX6w%>B8F%J&n&uBKrRl5s zHtwN)WLy?01|*;|PAPf;$KILi0R2HCtdiqm1=8bPQ{9pnc|<Di1?a^3bBBDY^jslC9g(8iyG-U3K8IRm02HVoHiZgt3aogM2UV{A<8Y6dD zY-^TznM`yXd~1uF=J2e*qEznnP)y1#^e-i%hG zPsI$Y837s}G0(+z=5`L+cx1*!9+-YZ-{olD9mF6V+J{JNF*xUzG8D!{2$g$76dB@0 z{BIoy)JNO3KRas27BDs(kvxrK(9`of8}|kIq#;9EVo|yWX_X?y2T8D6#$|U1zerhU z!cOV~KPlb*O1(~EBU7DSgI;wYkr}zvn>HjH|8Sds9`-SW=8TGM__2s zBQ8JUKJxyeu$M<)0DWWE4(AYDC_g$!GE%Y4wLbS9BMHcshjt^Uf?n=lg)Uc?!aoG42|9~ z<{PE03J8i{_82{fAA*n{1}2=zc1&A5MRFFOhpTOsOQ|5u3`6c-$R3AZZ*EL3(1Xuw zeu_vJv^4);AhDe!VffaU-{M$E&{;?;F&aD6mu4?YsVMAf3?<}!NR&5qtAE#KNJ_5? zp*n7=W0B9QCR(s)EL8EbcJ_MQat_bS(o8Hwd;bzJXA|iV z4j5pze>EBl%!kYP~b`nb6% zDNg)JCAQ4)*UxPtInx&gh2DiS8zS%g_@DD-CRPMQ7*-R7*6LGs%1GLg-&!4ZF)&ef z^9OfH3ea}-w5KJ}u$sE0V2lR`%jL4vux_mV^u4m{3IXrVI5lta`qA@lkU~>X$FvmR zJE*Hus`aySP7O%s%w}3;+z)?@T`%unsAN#*LK3KOy?h5kAWB8=Jv@AM%vD4e;$(A` zg*(><;Vv$inESiUyV&-=edy_)LXJQcMMXkb(ClO4O%yTXy7yKyhO!X_vXsb|83by5B{z@)Iszqt9MP% zoy~R>(N+@+@9ev+S%oV}ory4^^OY$A0PZ#-*a zNHu)LNf@FiKZI9?$|C3|aO7R9#4(4S>n*}pu&|9nkZj=y%t(K+3F0~U?HR-R+oiDd z>SMHd<@5rL5qLR$sNm{v9+z8=m2J3I9bW4rOzv6(C8fNKX12xV@7gE2nUSpenzJ!f zl0%ME4uOw!c|DQnW$ta&jFm1NGcj<#8%5iLoAN%@Ck7wSzV$y`c@OhPLf*27MYcS5 zZiD{wMTIH}V66h>E}9@UKjm;_fb!;&t!&kB2!rSMDC}k1OQZc_yX|4fRc%NF8y5ha zcOO$}Wa6cio{j4W`{(Upsi4U3Zms7|@RJi*{(C^nj_ViuuX_9V>KGq-qRlx5ZqDHl zdy3CE5<r>6}21An>bU20fGMJ2Sq7P>hV0;|FjkjADF0HS%8S3H5*qhv}nO6RusdKy^sNiPo@&`i-Tk${EcpErfsy%k;xJdf9C zyZ>op(c2Nv%GV5XaP7DqN!Xa_mFtSx#>_1SqTjWBnyfSNQ&1mdx)2dM9#TSRTG+H1@00)J+zL@35Y` zk#FzA*GobfjlA`Jw8^mDUAGYL9TU zfC+!n=-*C;Kdn?^g~2rgTZX<=YPCc2zxs&R+y-l{=TWgq`G^ar9+xuRl+&A3S-#DX z@K?c#e8lC7i%Drgi!H*zO^YQ>CkPo+F9u`wEC z)b_wiTWbqU<~-V%>DZml`A{kS`#8m;{0rEYzj1EjHu6U1px!C9TX6T<9sKlKv~ie0 zp*HV&gc0~B-XXiC1f_o7xpuPkGq=nk(_vsc-pfd*yGWIG3D9YcW?eG^?8xQ%v(t zBz8#)`w!9=IB-^3D%0ciQi!&vx%BhzVh*JK11e`ZF*&Czo>G8jC_@{=>{@kI>1M_t zLSiFVKKUTYab|!?>TMIL#lPq;yJHzO zybjgj!hT!e5xkVdH`pK3hy6IfOmfVFg~Ub}#j9lqcEVC`l{XBwDw#ap8K`#Oz<6#C zo=uSach%?mpSCqBLG;>Rbce({sQ_x5w)MQI9UsfkO2Ljj%b7b)xJ63uocmP4SyQoz zZXc&ZcUdaq+nc@n4R^lI{H)d8qRg{Tq-PrfXKgV`et+I8`YWc7S`SCNIH$vS4 zO`4rHiY{mZgomQX*8DmCpKcZBWM}^t%XtwJG=rOY&~rD;3Yheu$5*Ir_>Z;Xr&{@6 z2<&)i{!%|HaPUFrQNLp3iY8XC9(I>~s=|yJ{b_sxItK3k2RLE-(I{v?^w$!p`uca= z%Wv^Yd2W!p`3aD}>eQpo8^lzUSZBQQmwVDzZ!FKvdCk;{z^1X>gkV%Z-lovN6dPFK z3z6!ys{VawXDQIhXm&F?x|4V$$jbNvF_7Il{|m3~8>IS_6eX_mVV08jb91Du>k?gX zjkJoJ?YTT8>oHR>UO>Ed#I}UJ1#F3 zXSfqLuDrRnx;*0k!?Mzs-3W}Jlxk|w73t1WYPt!M(-sx}c`0@4^oIOBz4h)joc!k< z{ni5xp^VqyH??i?jp;ux9)=@A(<|QsQ+seiIo;=CrMF2&Ip0V)k5+i*mpJx^%N6<1 z$ISOIAgqtBj*O#IGM#*G5ns4RtxFjnvp_la5{5^^v3TYCws8&aFfJ^5$o>7s$pvZe z@)3Y(DwoM3#e;}4{Us#W?t)`d*hSas8HUmA7#PEq5^h0UWu7mQt$2uTC2+~BhLV&B zkrRw6HMsS-TaQ430@B>R{ctZjUFP#x``F1sGc|UI?)PU~T#tJWy4rt&0-r0=GugW; zpzaJtEz+1iy93fc5i+a`*ey}b(}L}vkf#Ac`Z{?PTq9J@>hV)hlKmYLlJpnt3dy`& zk|9*jPw5|B78(3XOzbhmkp7l7mmr3}eDQ5;6Zf#GY%wV}B|I7kS^J zaK=`eyCr=e7Y%xF;Z>^s!kDLZZ-N}eHUB+hG=@P+KE`H$Me&*ez6x|a>akpYcqi0q zUDG+DB)uCNedd3jn*8(a)!Vy31&X9yD+YE!60R%mLMp{Xn^q`MC*%9EJTqN@I_Q!X zI^APaCd5~i{foZx7Sq1k=_k6bt32&;e3^AYmz2S~RUcyJ&ej$kF&og8YCy)z8su|3 ztn~-6H{5fO1UbpPlf)}~MIVyAYMZqRLNI5Pf_Pp@&Po**B=sIOO&(%uH7%s5EA4w9 zPBmE+>-PPZCg4wznc-zL==o26%qwR3!e=u)X@aIp}i( zfoyX~f9$Q-rwfpCD(U0Kb^6N6EAM15h|Xl z*93OLl$H~Uo%!^6Lx8$Eth!dFaAE{ru`yGQphpU`So5QQa!=VgEAhXg?9h~<9>?sV zrRF)12{`i3Bdp1hMwJBqbn}flkQlklN0s!gahcHEu&wbGFG!0t z%ou5R;X~{(l>hzlO$t|C{?`r)!!8gmBR||d5P(qpOT{+kR|Nm6)$6!ma79;2ahhZFkJ3F+(&FOYC4}tc~KQEaUh%W&&7(7O(8EIW6MF*5FC- zUuBQl6E@hde+g&)=CpSFl0)z3)@8B^?5WoCI3R%~lqzaSLb5tJ^?E0#2;)Wa==s_l z)8{>0*FlrUn^vZkzAN;t9yetz1xG+6`m7;SIk1Cf_}F*oa1Q;(d3!?XSIyOY z7KuVhDh=zDmgE*W!^S9|-d?dRp9;bi*F4p?*frwF8Pn@x8)Y^_GE)K{b@o9f=mTxn z>1FEx@Gda;FL=T%FY3SJ5u!q>pVytbrz-{wDWs8hMJng(SL% zkC=|Q0`nOEciWECMgsY9t)(fSk!-O(l+;lTm7AHZPDfXm$=;zNNX&s`d#&tKMJhlYK z2#i@cw@Re*O;Dk`(F*+UHippepjD>On0LSfGjl@NoIMB#ksqEIRexZPvx<$YJgx}q z3Q;wr2JKnR`+ge7?*y>Nm-5xl5wI9uqJRIBbe*)wxw!<3Bj~E7iD4uRPeknvZ%y(4 zxd2v|Wfc}}-+1=nm1_8VYoFv-hmM1ttaRFH&;tpq^rAVRhvPnBDFn%Vi)+KQZ@~TR zU2u9$P+#{>op{@Np8ZkOS@K_0Gb?0#+szhqLHZGY`ZiY8m{E0kM_X$UZ)*1@et$`| zFkqzEzmIwrSa|q1G+NZ{<@~qm+plDELNPcvnLmWRA2e$_6U$6J*dhpYL*YA5NP40% z=GDcoFGUU}7!iRFX^J{|Y)|%_>gBBjmNJoTkIX5GuIe?N+|^2+0mOVGcp1~KovIPl z8jbxy0IecSdJQ?^4bqj+Y%S?VRs(*1t}pMzxZRg4^jQqFU1a{mtN+K|TR_#(EQ`WJ2*D-6J+Onj zdx8@jg1fuBYl2${Avgp{AUFiq1P$&I+&30ng8#FVeCK@UzH{HZYrVJr|E=}k-m7-k zR9AObSG7;ijJuL)VzTuyyfKRYa~nFFElaXo{JZNv_OexmuXCqsL(LaB}+M9Uif? zoMdGL-20OFGHnV|(e}}z52`>UR1grK3WYkL5!_}Nc6Hfy_}m3yE?)iWJRX!A-ct|E z$*RN-oQ&kA)!&PQmzMY(*y+>}h@kl-BpH*PfGIrqw9USr&yLbQ0Xf0;2g!F)2Iz}J zI`DftY&39nIE5Awc)A3Hleys$mKh6FBb??#rF<0J@g{(Ptc8(z?)C?18m#cfoB7Vc zNA;UNJ3ozvIFW^?-FB0a)^rI9*CMZ8OsH$r(XW`nje0*LkvBG9hwiCfp?|5TGWJ3o zo6#{#zZ-$)OI)&NmrQ@eXRB@hjd&7&?;zNU>t}+9%#Q0aVQHqLuevsoZVnDhM4C2+ zUOca|1P8+xrIJsMY)@p;G}LAE5S2B5%2lMJcyP)-5{}aEMjrVoat7s-mk2k?+bWD) zxHtx%GrQf0xGQxCSgm)#XXMB`T>jl}Jl*l!+UNJYCB=6jD|_m9$d5Gk#GT)419I1- zhzY*a+;>o#126uHykY;V)YHqLxK`{Hia>y@kB#4PipefSvCXZ)qg)`2`H&s0<1JNE>GK+2PXFkiM>ng8+qNz4~-` z)tyEgGxzo3xyRls>o_vRpJ;JB7s#*=e#R?tP1W__Ix*NsH$Vo%mzLLyD4jJNX37mh z%-{0oi=py)kTGY|b-X;{)hmC|jn#ON8M&tM`jWoBc(2bvw=N^fw?Q0DcWm5VEc;8! zC0XG?<~vkU$x7cx8?VnR8}o7#%3FFRHkELdH&5nnR?{j-51-liWP{F#13GM2@N+3_|7OeJ(ka@6Rn=3toS>Z`B?ZxV$JQ8k-96ZR zmt^JD3)5H%0@O<3 zhaaasjRgfrm)$v(xA~*wAyCys)gE@EE;RSiXw#{D~nXI=jo zib98wIBIrlFnu?hpWO^*A!WENhy_0E+eF6rgz8H~qtG$(YN6$&8nPx3LgzL3RrXus zLCd4j-goBXC{CB1jI*Al@DA+QMfNLNoE9Ec3h_I$SnM<#Ym%CZ*pfYNHuO#A=>=#l zxO~i6UXQz@GUe`N1}>&Q7LUp>Gwiw#Sa?H|AyF%H^co+^?I?dZRljaRR5yTzm&W4te(Aqja3G$gkY~Ho-p^-|4IqFN|J|v6O z9!8I_8vgEspT1Z8X>M>*jZ#g*>r$*d*n={oGDbi9o!p~c$tZ+Rug!#_tWi#P1c;<$ zJ3d=Ac_ZwY&F#B>FL_X3@uv0ghXa4WZ7lcmsbO9xF)^`rix9$RusyiTj_$>PJD(*Q zG;E{;O^m=`l<%GyuJ7(r4Q<~})$4j#7$n`Fu@;eg&dl*fmWnqF(Pi3Px=bOzCja#+ zVZ5fIe%d3ip1KrVGdZuO4PBrp{CU_2iVh@txa#Ar>F`zXn!L-*=X5 zIZp^HXK$<3{HHG#;j!^rjxcTWI>SFo?;IiNs^3eX)oVK|u^Rf=fWXd#5XY}}IT3TY zw`E#_F`RrVYl4ldFiu0IFuvyIvMGM;-W*!*{jHZ*WJy!7wgrI+t&*~GQ>=aE{&hE- zZfC2beLz4!EjNZqLXp?Y7|)tf-lNfnUK#b&_6e`H_>m`d<_%D}eOG&fA{VNcIGT0P zZ%w(fYvNQ!o;(dG_(nB>GPR1dni^yLj;Mk!oT;E)f+xEp`fZ&mB>v!i#>*rE(;&kP znqWf1(D+LQ8by>{MpiF&11zL5oXn0~00|*H z_w(d5wDFz2bj>jSto*Zz3m8CM?(OFqS6GR}N`{BG6ukkiXNs}(oI(2voHb<=aimq*XkJrsSD`@n&d}9I;ynKxl(nWWED(yQkc<^;z~cup&m;DkfqD}MCEZ3ow&U~L54CI3 z{ooq8FCyS)7%}V!gQaKh9B+CWx#v#^rqEf1!OhP`wwMEJCetXjx(pYsJ$YTruFxMR zi*Cc;Tw~zilLdL5F-$Ns`mDd#RK0XdWnZnX_4k@4dX-*R8sS?rpiR*wx!Qr(=yKkiLNr_Wh5Mwr*OklFpHz*4`P*=37q zd9qIwqDK2feJX+;dq7>DEl!X$GRV#)-AQ#~JvbVDb~HAbKcA9-+NKbq##;3J&E5n` zV8_*ATP&+VGn-rK)k5pZJG&_=a8o%8`)=&l_A-(G)vl&EsFM~%vRm-|ZUGtu87hYN zC0zqo=PrY0#NmWr-xNwdPDjNbQ)_?Kg2W#-5{CVBOdC~?5%Ck!6kWW2EqFka88^{^ z{`yh1g5Z=>Us6*Bwu8IM+l2_#_B7Q^no~S2mczl(6i>cLeUkMD-`EUzYg=nuWo@We zFHk7(=F#;@;^PE5ZAK#$4U}wGI`W~i;sW1d7^cM6&i5P@Ini!SS!a3a-kN*$*mP83 z5NFyweQL|>*IrzbJEssm{W7%4ME>^Fb1RTUOkBjYK4?W6C`!jh_!-6 z5dNk8c1N(G0S%LwIWwQmKRj&bs9(3Rg=5sV>AS4urLd(UlB?GCtH?1+X0^*T?&Cvk zGpE}mFNJUmN+&+!>H)?It2!oPA~|C7oQ_DF?WV8$Y3^q7b@1Js-|O}@)#C2Au7B-- z4*g&oS-53(c%u0!hjK6WYY_y?h+bxsdj49s{HA&OYzfZpPt>uHKY2Yn0ZEazE+t{hD<$i6bZ*hWWBUmJ&R@Y;(cJ;mm$gFBvbmh zi#HhARH!x$NrSS|2%@9?jN8@aegihn*tByKg?-L}*jtDVaXS?&Dm}PuH?pr}*`dSB zgCbe*{&b?tmNbWcp|27uG4wbUCyBZ_yTdj#GNUk`_bFZ3K~8K>RH^tm|I^ft+j$wM z+VYhjEz9r6wcAqps6v$$)cw^_5{ySCO9?u%lALTld~LKeo~+Ktm)d&krLI+QdWz0o z6iV9lF1R~y0bV?NDt8c(6NXK{S=55dNRm~+!i$`nj%>w&HxjR${Fn6R*- zH2p22NKg4d*~VNw#BC%+C1))_n-RJ zwnl*7KZn|<>?X1W$xnYS`vh!cICPfZBc4EzbcNS_ILG~2t^avph;p)Lldd7x{ioLQ z#ok8lmyh*ggGo^da`C$Sr>DV};^Q#4J3E&>6dQEyu{b5|!yu z+j%Qdr$q6JY`Bhv_|ZP)Yl6T%Mt+NILw$16j=G;0E>}K20vwMv)DT%vH$+TPzLV#F z<`gRNgE;rJ7ohKZG!cDV#U_7&6B!0>NPcico_+PapeUE~=)r2op%NXACb_f|(+``B zJ^c0A6_GxVMf>ti3Zprd=2z+%=9Qhq0QDnu4Z-knzGxH6ds%{3WOuS<5;2&HS2xpq z#CcZ&0T%%>Q>Zf*vVXP*vplK@q`A%(7Vnn)9Bw@BaN1FF90LLDIqCQGv0s@9o&?Gx z+keAQh=06Jgm^MyO+w)AG}0pHIq%E<7^tVsE4HPT$03GHb9c!rRa(szcH74YTXc)2 z@G3`kPRuJq)om?gKl@eQG>byN@wvvr39|K?!GXPLW33Ly>Q~fYg{Djbky-9ItwHhR zym8_rOx4p;gnd43^aL)B+!>OA2U~B*qITK7v2fqWh>K_^=M9X$f0Qu&Mp|d;BYTvt zT~W`s4Yv*mH$p*(a+Bj}p!+*j0?%(7(9t7`4a3*gr>Ze%MTLv|VX;|tPkEuY;1{CV z%r_j@bCg#?hcIYvv&k=?&FF*UtmRML!&TkT^QBz!t0nmT2gpsrL2#Nuff!ymECX(4 z?FHtkHlq%^EJ*U6k}A|$DJr|-NJa#52fhfkH1_x;EaiJs#E2gyOALWHyjzyXYr?RRmOUii6J&?bnQuc+o#PWCZAY_qr>aW&+ zZUigGSsfSCAivGmNK`Kmbs2o)w@zd1RPW|E&|f|y^R*rRHsmUKBlg+j;~`Q?v(p;0 zR>goqQ;YF%jyWVG7eSqfCnsdBv|@;bgxFMddM~q}glpuri+fD4cvOlq#fEPQeLX#YSS(zq7ps>)yoEb(6z(>% z{xKe-x;AawjVEnX+}XK;Nt`dc>Vzj3?@TX~GwJkx)2gpRm?Ez&!hUxvoZBFW?}C17 zIemN}Ap*79Sqw#okiVkh)Fgx3ZbexY`l8rnrH&tI@SYxX!(hA#kvL1NbHU@BV~ca7 zs>a%r!d%#>N&;{llM|YH?$p9mV&zeLN|m_D|(i!s~tXg{+iRbbOI^L$!)K%b=Ur(U*~)oy83DY?mM8J;rf-o8PkJ$ zy3|Qi6U`Tiq2k?6!1|IxWl1K`1pzC}z6=Cb9 zuF)Xf$!cNLFP!RO4FXU>4 zs%Yiol%jqk9OXl;h_lAN821&gX8nzFZ_f*1)%|U&)?A~5saW5$Q#_tYdEM5{LRoc` zK1l{doYm|%4kZ)_0x$Hb!+TEIkQpUBcTj(8YR~^`;kM5xT3B&@{>*i8 z_V&lKPTrg`tXDp0(T;%-UPP#{Yvy*)AXN9*C^5^k#;>rmU;eApYS45x6C4Q)AV!*FSL;$}={c z(c7|?PIKdWJ6DGz?Q(0=zxKnls-4;}RD0fF77O?3Op$gMDW!YaTu(|4i%O00((xvU zwBsnkggNqDLfAR-8cXe)tA97gGbdj6QlBx8Tg#m#OH0@jP1(y@vQb9%D3I=F=j68& z-Q<3YBUNn?h=C6YYThnet>_%1Q!9ozndS6Hq=*@~E`^tlRBQENXXCL>v=%gn6qm9q8@B+- z2}KJ#ev8lNzMy~kw(j}IecvcIG;Yzq<_@1T@N$#euV9-%DIU$ZGz@_HmI(GGwqrEs zATF*Ac)YrTwt;!moeh<8mSQ?&xv3}DWm7k~KMmo_0R2vJ`INs|3Ow*hdmC?nzn5%P^SIcUB@7E0;dnFX?95#^Gb3`CaPYJaftr#xkVbp#zsiTfb zT~GBdxzH(*V@JgBumy!b8D9BjKjkT3 zBCieA>XCzHw|_2-brqT~_&kY!UMqhpM5rxSt6i1;hOxLWL}jGKLamvvhlYkK$KRvA z!3%8(0kwAV(E6aeC<4{Q)99>ZbSy_6{=;3)QXLfy#$rC4Kuk;ciNMF}v+wu|`7bsL zXJU^pX?CrtQ*(6M#a)Y_o4N#>s#HBCUO>MIHnM+9Y&@p?mKT4cAU_$V;x&)|#N}f> zlMeJ&lk}ef4!^blm#unZAsqgj@o`VDBd!8Yzn-&urAShL0~(MmLQx(#J?4CbJl^0L zy%z9VI7$W8b;sz3O~3SNddy_WDL*1<>KmsV z@UU;`wlLv~3byeTZX|n4>IH&4-D7k95IhyyTGX$YlnuM}JKv0F$jGmcz4dv?B#~!W z)hODviJy$FzG9E5TqTyEB^rHVf-yXNotC^RN!$N5UTdm0PApy`LXV&of+PEBv*q#U z#HcqWr=srS@+y?Q+d^z&RdZI^ELepz0`=tr(at|MPH6P620U8V)CTBAeovRh+7k@B z0P%63yRYDDK)V|kA}4@JC2XNr>`gKplk$w^k3W5o)LEEj>!QpL&RwRMSS3`fq!WTt zQefn2sJ`k>@h1spocy${jiN){T__&SY1}O*N4IY`n7iY+!e=mHyte3z zSpS&xQ1c+~EoYI2GP>{Tfly-%ww%!)lsD^|vm@vH^6C1~ueA8paPQ`X9(u2IZKnII z8vKm!3MJ08x74zQ9jH_EIR!sE;?f~k)&wmwIINrk-)Z3IaOZJ{R)h=e8fzOLX_8p% z?=v={n_VX2efB`2MsbNst(^{cp!HSf4eJ5l5_v02u}0uVqBDU`@-hayNM$}XQIZz< zP;k($x!f2VJ`>-i7<*;grjXDHDfRs&^DFsJPM;eR-cS(_si-NRCX|Ls!%@a^8)l%6 z-Y=x|=6aAr7wNbncp(*pC}x9EhU=l|?C;ztPUZhG!RV zV&2`)ashvS9kh5?3A>^4aK4v&#D9~pjCM2R! zxiIjyFxIKSicM|wfU&M_P6F3#zL>>CO?pM+eD)-DLosc*%b_g-FZ#gNPeyn)H2O7OHiA)Gwu1AA}k4ut|U8f7ZxBRwI zF4+);$k4sl*m5He9aHikAXEE5#im zv4^OxR-4DX(Rz^U*nRNyTYJBRAVR0-D*sE$Td9gx#%?XclJRz4&Xs}S zejLH&@^w|``A*M)oqp1A;Y0M9b<|y-aLlNL;q`}JRn4n`7k$V|=C4ihtKwHA;;c|) zTrPx-aoLsbU9e2Idal3H7~^T))7kg$DdHutA)>JNZ18VrxfmEw1RoI}KDY?4#AAA* z+O(?Y(B8YfABdd1B7zl&~#Aj8caU<6Zjw0%SY3V0B{T4fV(v{bic!S;gfJBE&w_L3rwa$N=qiXTtB^6z1&F)}(NGs;uyG5}Tk z&x4N%{qk4uKcx2?e&p9aQ=vPph3@USa@S$gy1s|s${t$GB|3LdCF$YQw*)()7n)yG z^YE}&qiGMHpxO}QBrylYd7kwqlN2EUY!Ssuprnq_K4tLn;zJuF~VtZ%V zNPJ>0+DG5tN|TU0h<{`w@h+jDQV@J6bX!zZ-_%5B0<!_mxdq}9>Wzb?`{5UDK@;?5*H-M* zMTOz`BU{(8G!4$YyinWMu@Py^a|ahEbe9`EcL~2YC^MzQ(PC#D5K|RS-;D!fJ!;Hz`EkcFV4 zrWhMEq_@G)me(gdezpF!+v>N?WK~Z5f&m_arf(?+o!NXjqGZhBbo2|lhv(=`c(bmH za}jzWxrxZQ64|;ramTFTd5TauBndO$@RUc(8gIi!IKAW|=@Ur4zth+K#0M?K%!|f| zk1XZD#%|J1Apu+!)TH6|UwV=^R*Nm`E`n!e&tjY{mER^$_&n;Fw0iOUq%10Y&%LtM z<_WG}$dC z^-Rhmx?{1!Ijhm$Q0*b(30YZQ#D4ZpHDRFsTG`DTLjUfB-|n?ShvHQ;5s{UUq;P54ly`uOKQ4hXuaLN&A_~yg#T*Y zPf^PtA@-^l(^M2KI0~V!rxvTLv(UQ+UHY5q6Eqs>YFilw%@sxu%0`;V@d)oC;>bf3 zR4M;QK`lvFPn`ANQoo-4o_5-9cBxajMya5wvgBx0Q~tG)o|&isCDo)(TzzttA;Ko~ zs2+=rpBgJY*Lj<{SxBNrZ@QLJT&^p^E@RB&ap<}DW0vDW9OAqLjN90GlQzlSG+tmi5@MS;-K)yIeNfKJ1Ju_~|_N;s@bvV)x;NRm`g-h}fw1#iMVj z=(WF_C-(KMVSS^tdtNJCL#lGZw;AnZPg2iN!?w??-#E~OV`{=SPv=`VXwN{`n3LI~ zlQlFpJ{gZj(8*Nuq(jZA>#GUrqv4$3V$-MVRnvxpJD8HG=|w`AN(d>UwzK2B1+|*n z*5xF|Y9D&S)}<)V*r>#szIj4R@teKNoqyeuz@t3;FPq=HXiQ>`y`&JWbTrB*2(HmBa_SESPk=&al z^x^9SD%MwgO)XO3y_0uTq+T4fn%k34X2w3hsy<+Sd!B+nH(<3l!)*o0%|PwZcA1-P zT4*gn@oxEU_*lU}!^m3Zf_wI-Gwy_~ic_S_>yMoANnzK|acooUQ}LNeO;X#?*4Nrc zLkJ(IqjjL+@?z`DI|`v$J^C}!M#}~0Rdr2x>tp-0kZFc!-uo+#krbD5&#w#zj{&@rwlBimssz`ona=rNi39^0j zo9SWCFiTGA9IW$-r#8tSalapB!*yd(mGbWaff1KvtI3E*lm?hQ+_MLq3S)1a-1(yG z>PMd)&N#GOQI%2Va7#=b$VvR^S5LuD`zjqKvfLH==wGHHlC|s`++IKH=AEgUM+XX_ z>iWpBhTH6K&`nA)ZP@1qS2_wZ>C-+9QcY~6?prgyVqlrdud1ee8f>o0d$<^L(YC|$ z)NFv&1rvWwTXySvDr2DTQ;p}#IP+9Q0j)v$c<~Nzqo4E)2(6xPh2k+-G_oqqHZ_i0 z^Y&ZY*4yS7_DYZD#>KmeDrnt{ARIc+(;DCfj(raP&|OO2phezr0E`F zA4VCR9O3(IE&6PZ#0g#QVEQk`pBtqMd;1L;5zK|pE9>#NkqSU3X)DlO*WZ>;e>1n0 zvtoK{m+O};S3-;A^xgxf1dlkyRzZeYJHsN~Wwm_^&p+LBeK!TaOp|9$t2$Oaw+W$B zGJ?PFTUX=i;3uMt>Nm{^-2(1j{Ru+Fn=g^%W=;ucSldxKL_`H$PJF^Ui!YYvjPH@^ z64&jtoC^fJ&0sL0BC@me?DD^N`vvnl_xFfQH2E_no)b47)9*yXe`6sa#3&HyeX<~q zh0rBN>5c+nEjIpN3=zh$z{0^@oWAk|3;cwb3>zPmy0E! zr-;mVE!W{H4aQ-fW8GrEGp2d%QJhvb6Icv3>7 zQWm40ZjFG-PJ(i=KECu|sTBNX*kHKD#}M@KfDGy+U+yBP>Wir+Y*pD7#gw5WbeeUQ z^yWzR#&#!9TeTyUji%>FWKnNAixjS!ndetEGzRPMmS-0|ThK?j1W})zr2d}EIm!~a zJc>sozu27hKfL2EI&AC7d5MO-c%rbxzs=vhyJ3deqgrGZ=Pc2pa)k(e5mE4Hs)W4$ z7T#*3bb4CygNI7!p;c56`bX4^u|>kGlJhrP9iMhnCOsswu`Q;Y2sqNa2XHSrKg>nE zR?q+J)!nok&eUhRjO)}L!Yn9_f6qH}kU37_WBck>TKzW-rS>R`540zEEq1eki*gmI_!0#q4n+@W8*{RaL})xp!FraHP8o@;xX!wY6pEf0cee z_0%ITtqipwq?z|!6U9QatH`iLrBO_zOjC?aXcI8aD^k!YOg8b^52qQOYlOMz%J?X? z3n!NAVTKx2ygB74B`x#zG^xA?WAjuIcyy`sYH$DNS5Ap3B&YVzN!Yb;qrd7OO(!xo zm+N54#LwmKr?;DB&kl;>ZX;t?ZxwiS-#c-+@{Gv0?D$T(#b$huWgr(mZ0)sIo;GI) zZpr)4x%l8!ZxLZ&L!Qn zB>8{e_vuNx-D7F>a=po4yh@aY;f6zU(_qx zdYwpqJAD2#S@!Owb22=Vb<@hB_*QJGz1s*qsT3rnmBOlIj*%G6k(suS{W2NCfe%`Nj zEK74GP$XhcCcpS|BH$8SM@G&())V`4OAkGZ%G~y)(|OYgmq+VQHB6z)k=nb_)-Svd z6Dr9vAf)+w&o8Y;W2<)3qnwN{_P+Ai3bjjfPsW5em}RCtn&^sPTFDGuq2mlNk?!;=FFw&8F8*Ay?W-{3@}q@zj7e$1HimKvVZ8P@=lJZi z74NsNT1=Ch!O3cFZ_^?V`!CeS1mDNVsJPSpw0;#H4ykuJ*W6nAc)qlM5lim>_1It< z$w{nz^4aSC-EF}1nJ9Q74Lp*DCvimZf^b1#;XHvr3R<-fNbvNmbO@N+V1As|#lLW* zc#Y>zyX!QQHOJL*sg3OHHYyv!uYr$`0;JsLm+>NM*PPO&YccpHp(@~|{`EhHeji=qX z&lVL>LaMFvV2VW^?J|UD4uYZOb0l;&A#SaKB)M zWBaOQKj8Nf)a+#!WRtkUqlNRkHkO6+V}1<3uuM31vCi@OLAMMS=65S>RPF85Z!{1- ze7Ku(ao-WKQlxrA;9?=c{%lR%VkB62Y?r$eCA$)*?BU9`)jL}P3EZke&u8+e?`}G+ zhtn8tLZ^Jk=2`sLZLh$&WUY8wg$=Bm{;3PG%JlF%-1oZ?GH~%fk3|gFSvDFib;(RQ zOKLG8!IWf=CtmE8Zg`yVV&X=3WO>xU)_doYQ_ru=?NO7_t%6OY92cMD2*;!KCAo0a zFvnA8YdFuAqEYn7#$=E0K3%T?ujbF!qZVBh58(*xruJzlgncHtTTO))=j!+N-SR33PTjt%KT}!THQvm5 zUOas=&D$JQBl>2&#>Z`|ycDn9nk;^HFoQ3^N|3eqN3~s3@m+G#6t+cQ)+b*tW_#1V z7F9f#1hb)wfiJtOPHGu=JbL+3BMYdF3o_!I0*jC0yN_aAzqJGVwAdzdWB{NpM9h)) zLrlPanw#Bq{$RW)pE^HQJlkFU)zy<1Tud5Pobbfq)t#Y{oOJXoHe`d9=IYyDLKHu- zANCRpuW+Ld*f62EIb5(grr~lgS?OF!q(_eJzbJTV!v3M&DCeYGRy%SmoP<2xXJ5~N z$vCwcEAm_WIr-+!JWgDa;WLge0(bJRn^+qWaDZXVFlXOo>$8A0o`9=F7iEnQ2Dtb) zv}$;w?V;#V;o$__AEq_S{oG@Mpl_MF$VePNjT@~5y|}uIz(kH4F+N0IK9r;? z`DN5y_WBQx^(@2nbIFZ6*Zaf)z0d?K)%3pMI>S1q2p1#Pluv%(H~+-l2PJTG=O@f% zS@e+3=Uvb3R(<5Ho^dP;z%9%>WQv9PhvowSV58!zL{!%Hb7ZJ>N^hAJ4PH4i{ZLiQ znH#AXi4gL!Za=2_$Bv`-ZCaP9KAE=H`V^GB=iNl7mVjRQZr=xNnN@24)y?Oeni)_}VeATW%)EeRntfkUD@Q zcyAg2FeH7l$}6`wQ{iK4y7FNQ+d`ku&35}a6Dvi+PN^3DOo%y^De?4|2gV7?Cd?M| z(LIWhV>4ll%rqg`oj*p_$v-NYh^$X83o=8)I<_u8GvBdQ&boiUqyOanff4I`LNup# zBh~l^tg8Lk;^}&?es9Uy%y>=#0SG+BiA!-HAR#0oAZ)Pr+)l*LO5r1tcvvwKtG%SF zNZ<{HH;C*^x6kV98&cmkU?_CV0hqFUkf!N-Edzy_`*&b7~n@=-c5g zP*v#vz!zDgHX1_pm?VpWIN|=mis6G}l9GjU+HLr`B*dv*y;?$TUx3TT!^Fc2)54C(3PM-=YNwS9X_yv94Ja}R1 z2LRwX^roV4`w&|Se3`j8P6%%H9+7||ZoWGkdnh__L_7FO%a3+1^|+06nVAR2oLjaB zEf!h&I=hVe zk^(L|=W=#FrBIzE-WG<&GgjGtGq%IhWHatyoIUxPt}gLbg&hiyFZ1K-@Tg)%6f>>M z@1cIP_kK?47Y)0jEj*%Ij@m^5?qF@?zzG_Ug@_DN0B|(xTH(#M|L%H9YT}_c77(L! zx;tl+L=?}~Ps&}j)|~%Nmc!9WUTWd=b<$H)oueZ-Q)Yyf;@KQcoNOx+^@%Ta1EjL? znXNeU`gcF!uUgN(V){-e3u3{4v96eYjR61}{`}@-M8yuo1(^2p{#H)QpK=u$nL{#y zlI>?rW8X61ry2K_*mWk7cY3dwUTVq~WuacBM{s&svC}QD3h$O~qd$&PcWT@-AMX0pB{np0y}3I>OV| z)VS)Yzxl@6$@j6LK{;f4aK{&1>9$+pV~*T9yL5|V51~es zkwc-)=gJ0&#pymp6qE+7`)Pj7Y46BI-~o0v0Qh2UZnBXb6c20IlRq5#Th5^Px4ex@ z4Mij;6QcVc*5_VuAQgvmeebcasZXgCdfaJWWj5*h#y zC`gEjK3=Q$OwjX()W@MrfA@{)PRVh#<@c?hrPm-6AKu|VgdF+{?l-#(qBag>D6d&8 z>Q)B%ZKB4VoSak-ps%9i8=~LMKl423_Cx_~@?+&P9J&&Of`97%+%N{|^VpJaI{|i_ ztoRLA<s(WGy{Z0@LtIqVu+T7FzEe=V6^HQmkF`B9a}8PMW2 zHBDn*ZxzQDQtj^PfS~CSFhuTy_8A)%C$}y;IP)3TMWaQg>gl5Al0ZQsPsG2-?~$QUBe;-D$b>*c2 z`xXE&HHQ5M#-Mxb!VtsUc0RB|fe-Ft!^1pV+szD^9~grHh1FmnP!8;00ie7h+v8V; z-2CakIKN1qJD8WBD2-Os=E4}HB^1O2=Z=!ktz<>+n zQnE5}b#pdzVPRwao8#u={&mH{E5^qzCc-AdE6K(w$|}ajBg$;;XmK}vw*+9xNJ>ir zaBy(IbMOb;E!(F`cv_i*8B5c{asyBROt^ahIOqVOAYg$wth~`6Jc5G|0|s~kp4v(08||8y#PRh ziTXsR!)9aE`S(F4gM_^8kl{? z9~=k7X~KW!C=8|uyha3IretMjXN7Bz=SOOA2uq&mUY4 z#1Y^8P7|gnq(3+;dE`I%V-N>Fx%(@wD#-8q1`!Zf1cyBkfBohjfCz@a2TL6P2QL8e zH-GSQ5C`7>krOmA-1~oO?B4s|8Uru^0R9i|2pSG9?>7$^6S(&`9R6?k{k-4u)Pd1||CUwZ~3{_C9ovsC_X3TuHA zf;E}{kKE>;d=38iYkz!LU-ute;TIAP>VH0AwqI15W?|)USer3OHaZfZ=Q)ZyYZ; zYj*{J3l0GI490H*c~ag104f;g3m8@fLJb%PZwvrB!vSCo?8e+<0RTLF4v2th+JSLZ zKp8mS1Hd*|$+Uw2!21cv3w~VV3mDH0OwSw)4+BlPqYD60#Q+ci%IXBlED8ECV4l2S zTCw1@Dwy6r2*n`(E$Fv^G*2*{Sx`1(<1a6m^!?_5 z`P3}{0HZws;Z_P1yb}P>K}G%q6;lrmy~SsYEkGpLjRJ4LKVrBfNC;#!HUu&Pxq?h{ z+(Ax33?DKHNrH4kq9GKJJBTpHHRKV*0>TL)1nFNPYmf+tFXR?d55a`|fP8_7LYN^{ z5E3xn3CMp65rb$!LLowsE=VCH8R87mBf#G%1T{9!Eh@Gj@)lADu>|ul1;gB9U%EBK z)`_Ax+fn1WRd=9`07fziak>cR_Jr5YTL3PG!=f>y!$7pt^@8Q%fFZSwC* z^FIt6V3t;ZqgV@eI_z^gnR8S~#zNs0UONLkr;e z`+6_(FM12GaUX!{K>rcxe?S<{U_Y3iVR1n2e|3MU|KIq(^7~ir|GxTf4g9wT{#ye; z1KjH0dc*%*Aq{XC|9Rj)L%`+xf5rW~@#DV|?|++T?|(am|C8 z((l(ApBUVKAchhDGED(EyMzrSFgSxLq*E9c{4ehR6i+gksvDTG76@f9tAqY?5I8{G z0t7oyGEZ=70;Avk2M3aVx&KqL{8!TX-;ozqJeYF?;kWEqppL=M<${;RXX}9T%>XPI z+yDR&z-<>8I|%i^eMcUcm1+RiL@PiU^rc|q{C~Uu7d1c(=O_%D4Z`g8AKhPbxxY*d z3y1Ubqy5GAr=B_s%BXo2KVhhS;_8ESPkh|V@W~VL+I!8l_r&jsgH7(g;(|aXBM|IC z04L>OEdT&R5E4Q7U%5MQK_LUyy?Y=dY@s&oSA>87&US(FazLgQB+SkNGFCDjKp^=3 zIY0)0i-a3Mf&(xdLHS`t0GEFMbZ|uwSbE?M8N`fWFQDRANFo61QP_!}A;HQDBT0e) zYqgueQ#d-f|9mc;3Re0MP_gLX@<4Dx&6Z z{^LK_;h+!Wf%!0gupI&FAi&0^Z4h8_VDVwoXkidwcKgd_Fgy^1Xt1zTAdwREyFqvW z0*sagLK+D4V3<1CEy;kmEeIVT41u5nf-(r!AcTQHAq)UqZvfyp0NgnS7vlZk{xA!e zKFn?~AEr-`41h~tP;dVP5dGy~@(2CFVcy|>#irPbZ(iKN5tBF3K=}{3=4MqnY?@?HR33I6J#eLc94+GMR)G$L5Cza^=MbW#z*}F6EYTpPHBC_{;FZ20-3B^2ts_ zyYnTMUIGT#o?%EX8~%Q=Y}oBN2U%H`$ynyuv`%eul$qT|Cl$O}6+v+_D$$^>`v z2_77z@2SdYL$*Vg*+97pH3X;l+&Oqc)wVC9EqBG=N%k5T>*r?@R z=cR<*mEU%nL=Sf6&3JT<+9hvF(V{EPts53 zXPa;bSUJIxC{wJ#Vr|DxWNbZkq=)kSk2MB%~+ZtvaR{|fjwe+^Uo>M)Q(Ftmcf ze`NV9?4NYF8f;io`M2)+|6%VvprUBLebJs_fF>xzI4DUNV1OY?QUpb3M#6xBARt*X z2qFTK1qT=u5n;%R0EJ<$jec%6`|2c2n_ujp0-L=kJ%Yy3a zuCA)7{j08ByJ~muy3|ep)O0ii@fuCB!s>Wf_2vJ>3jRIQLG1**S&jcSQu9nG3s+xa z2>UC|iCvz9_`47Ej1I#8n)V>>AZ^q4e~%Epy}V^4(=Vq%RUBx>;Kk<2W|TA*TOgdDFu3Z7B2l=ug=|nmHZ#!LEZj6{@_|V z5YoW?LkmP93}RY;{rxNMfVO{?@UQcK(HjQwcnjFyN1+!$li1Sk{=wft`hQkB{#x-k z_>KR$lm6$4{GX>dzz$XbfLskP{#u}7;KY?uA1E2g{70MoKV<&jzjeSn@&7N`Wnt_8 zw-g6s!QT!C{{)G->d=KG^bBS|{@~mh3U?rX(9i#SxlM48%aTi%YlKUIE0l{5Oaz(0 zdN7u2gX8K{Tit^*U0x)litHgSME5Cny6&|p~&2|1>a$3n+A zXpp}Fe1XCd!0oU5OVIsMzy`PkZ~~`+2Y>{0y>z+|IH55Dm;hz9+E7jjC`CP#<|V`! z4$3!$a#usX5E5Tvq4Gwd+|`gj{a0Fg=y>8UZwhSu^?MRfZez&5gnpy-K(F_=`!g>8 z_ZpY~)h>U+{~icr;Q`=fI?FrXpa==v`FU3s*Ph?I!(Kd$3O`H>4f7w@S;=>KYcaZ% zwew?J&26a~JpvlHmxW`2}GIU%zE%%A($6D)* zL+d*`fmY#bH}9rA=UDIAkzC%}%_vREz83D9xSpG9owUUeaztoM{E)F@#mVE6CWi5n zG_S{2Sou*iaxk%QEV9M?*s)XJCohmnZ? z)6i=a_7`DF7MG6@T4*J#x=(cr3cWzGCkci_x^;}rv6GWLz2nV7 z$3xom*_Y?2-NL2}-5+0j=<8b5oV4f4?({&plIQMz%M&Ms3hGTOG1sJ^F(LWV%zYli z^<&&WRfh6PolD+H@AHi1^ay<33Jr3K+hNtV;)y3m&FycFa#xx-~Bc63j8=6AtZ zlHvM_WRFM7oBi$?*ERGQcfop+;3dGo?}RUc@16p$aw9KC1otXM{U=i`ek zJ{R>bgg)7EgQx5%&`K+}kGa$?6I>t7?|cgyc;e>MvHtjVhS^GqYrky85aqG!P@X{V z{edjyF!>tJ8mA_k&wS`530t>Xn&zQmrC zTru2V2G?8q4Sw!_+>UWy-{CfMToZ9{q1ctlt}E}>4lwPlOEh-u3-td!63l0Av6gX# zWM?8a>d9Xb`Y|h4F^w`LODIxV-!1*H?n`pr*`nC;r5Czvvc=n*&fR-`OUeu$8E8kv%Irguzn^J9`=1ZB3h`Z~L2)4oiGyRJXS* zNG0m^kE=rVWUG=RTZbf6TCqFV&g|t3ZHc9dxpG?@%UyR&F*^4o_siRT<)KHvBhNUd z){D8&Mo}+yic9!Iy95s@bJ$Et3aFXyyVlBHRlecyf4a~9DgO@we-QYCz#jzuAn*r) zKM4Fm;12?S5cq?@9|Zm&@CSiE2>e0d4+4J>_=CV71pXlK2Z28b{6XLk0)G(rgTNmI z{vhxNfjHh7U?Vt05bTIhe<%mP+;s2c5 zazi&D_xA^L|H+c%!FOrUFYXTm7XXlsj-HMdq^GB6U;r7J(TA9sn3y?GM_ACuIeB@G zb8>TIu#&Ka#d_4Kb97#dkw+t}LK-*9ld**Up+`OnKMDyyn%YU|#-ZE9|5ZEJtm+t>ebVDQuDp|2B@ zQ`0lE-{!tAudJ@EZ*2bB+CHG`fX;upzX|&ru!;pX5E*)ow1cFR^PE%G5j65Q>j~9ddH4lI>N$LZ_rwr08-u!O(f#mo1v+CjRR~ z;p5$XmDXjtiM3Zn`s}Y>RAKvIE9`TjH=aE+n>G~J%tn_sMAg|y4`8?$DoaR3EZWbh z`AFmG>^lX~;F%sZbV?VQM&&pz6&{L9B}A$da%YmoyxvEXB3l&8sle_H@_6O&UipvY z+dEgfW#7HtdD`-0?K?iNZV>)ks`p2|TA_nDWFXlgyH8DR73crZ8s!k=-n`LsYbY6LL=1GEW?Hzu?tFZgs_i}$%W?TL=dzLeN z#&~@6(p8yGY#NPxdIa8WX|333Zl$t1ElUaebb$x9>|XVfxlXRM{shAO&GS=BGLlJt zggr3#Ea6_Jm*T?lqTQX?%gcM&M+8W%VTF{h_%(RF@5SY?ZJYjhMYh_<(L5R?rlTe+ ziaVAsk2If?=sT;IIZQb94C^W>II;q?xx5RrJ2^e36(QEQs%WFJE?H$KobNSj_#)iq z{z8$&=;wxRrxw}WU`xWGy^*IY+*=RgsZsZ?w^R<{?hfC3P848>YLsO75}tFi&wl9I zGfYiwq@mh5oz>{Y8#-b68a=AdZ|888=a)%tyO*o~E^UIa;V58Zc6EBQ4}fzESFL$s z7X)o=y{j)>P}jJ8d@kUey<&d|hSMeT zbO@h=x-Z>Q*rU}6vaS_)mEcu0DRWs=HK^c>xxU2gqqSl4jw4EMBerJFU4Oq1XiRZ6 zao&kEIr%kChE;5#enA#eXTD9Iw+^l@eAR^y6%WQUbNxF1jq zvvTy^2vyrMpXOr6vPK#=@YLKt{nat#eXg}+N8;Vu`7O)m7oRC#^%(hl!%9ZR_=->n zw^&Qg4DU}2Zsl{hF|eKTWFH_G$-3D1W`?Z&;=aVLpd0KVe8yvGgat3VlB}YICA)$G zxl5)}@iN%TbBRS+&)Y@ZKb2?d)aY4W0f&F_5Z2ZUne5N6(qJoE=p7fRJFSTl6uiN!i zc!?K*Z`7PQIF5JCOr^Z5T%!tA8ltDKQ+<|o1xlm#0WDnIxT9!oI4(_c{<~Lk{@`1q z=HjRBxn_jpkMmUxjb`v!5v3dg%gwhfOFx8pN0%$+>H833z6Rd7(1AwPODCSqr{t%& znbIZ9j1t@`@ly#SzuYF6Os^}SJwsMyDqist%8B-4|Chfn`XZ!#zUapIL*S>51z!6(xJ`vprzu1yF3B@XPDHD;Dul`W3XdCy%km3Sw_ zyWq-!?uf3)zyGz2+O(~ELcILP<7sa;+38%tDxVcE-Ynz#V=|vEr75( z%SV-;w{)e{TQggltlU;hn@wrSi8iaOzdvc4EGe&QZhT*m$eI)mVpn_uuXt|{@Q<ssN}oaw^~$NuZDR#qL5bqXa&a{OoGsM2zX@%ys!SCx3kJr;D^rb>2@RxseYd6N^uGTLSYb zX?bJICnRl>#e74o+>)ZHCOlh>yk>#5eq36~JrM7z4qSUz!h~rbO|JbxfBnsihq3Nr zHzYHod`+L%JUq&ZiCHk2elFdmbVWV=aM#p}TW+rQ9^Hx!Ghi{d5FKoOu*k91S@1P0 zF|3K2!IP7tlwX#lyCB-!N(;2pf7re{@yhG&7gkw*^pI3 z*(>MWTJc{~!9)lr-dehPKKQI&ff2zo|B^sy6M_pDwWAh( zveoE{4aRauNA@TF)=Q|n7gB#Ax831BgRnAl?^ffjOU$QC0>W6AI$is7rc4B_9MzL~ znH=N#F8ahDJ1TnJFNS=d%lo>^_tU##1C$E^uYPno)KRM`I%GqgJkB0?FMd+9)N5fu<>gbGAkQcDn@alt!A$qdR|#Y1 zpNd0zsHhLoJB$vF;A6kp6s=cdU6|W z;YX{dcz31TL)6{GDy{chl}NU+x0gw^X-2?;QBt|CRkW*ZPWa_|p4fTSgwP7j^GVen zX7Hq;Xf`9qUujR%ZJs6q9<8hjT|PWX1*dvHCSiMUcS|T&~3n zdydoXr`P$5o)!72H8RFN%6}gjL#wx7`c9k-JM0KdV=zrM3^z5ge z>~~gGaCC&TXRX@klJh`=}95^!r zv^}lPSeb}$OrBooG|BEsyxV2@ed+2lUBNAzin2<_r1o1VK1OM+!>8NZN2D~3igLb- z-I{RYEE6TDo_@U#tVcBYIbJbN;dPvs5_5I>(VARFK;=(a!8APITBCeu46bpCWtY*0 zU2~O6kc-7yv&QOkFpl0H*VOJb<*chIS2r5u^(^`>J5pfKy>SD+W&FXMZ7OlHLG$`m zz2n%&91#l(Z3}pDS+BQ+>=#wb# z!#HBPCd}r$jqUn3n?+k?LwT`=^By@|e%{>sfM~Vz zRMU^M1!vy_K|!ZT{0TKO2Mu(@XI%DvGy3xUH9uZXj` z>%4mopI#Z3Nb3Av(T`gB`CQG3hK9e5L66oYn1j9X_3QkC7il_~w+ZZ+ZO+NZ1MxzB zo#^QI)jJx7;wP{VHuaaK9^&T%)rG6Mt&|*A4NV1ehL$X&&fJS6tHGWc*U%(SHYl(? zwP;WeRm<{=sRwYi>S8fhPjQ?YR~qDaKevQai0+S*0| zdYbV1WnyeaL)M84>Z{Dxhx8Tkl)#>+CA@9|ur@u3ewV(L;$ubu!Y{moSVf727^an^ zdK61vO7T)_M(^%rC%1bF1DRBg$e^>Lsq!?(Yrc8U<`x?JDQ<7-hfbU^&L$rlT3BWN zTGo9V;1jP3kncn>PuUC7fHS(dy`Ach6ni zbMt2b16L>4gLv*wH>I>PB9mFzIoNzncd+^{m$_7K$Q^oaZ28Rf!D6gHARYL_ZQi72 z*|uOW-pSnNM*3sC&U@DfRG09l^eJZ9<-b2l3>-s0bl2pn{Csc8+sr0* z*&s1UZoiNa8vA9p6U`CRcYU-#%^9n9?_KAi{9?6xiL0hZA6pK@sWU~rr@z|$^_ut( z28)$tm1trosVxB;Rf6AUuZ9+jV zjbK=A=#Qq`c|vdQ@qCQ=IMI_o@-FJ=rEd4*6~gD|D;dtt?jB3s72z>h(p}AUAgD2G zMuWRoXmu1Sg|1x`yTpU7y?5^FqU@ds&-Dd5asHS6A-w(W+tb=KH^aJ)9fowGi@~=i`C*$tdSv~s8WO}#-hnj z>7h(#p2oGvGO^dcuo2hdaW&>%+nhIn40WG0+y^S(-+pcLG{aimc~CmTojz?*y0z$z zP}Y?h7WLzghJQ^q>rhNpk~>FQj0T8hx4m1WGOhY2N>Ad9gpjwSJMy6 z<14L)r{cB~M*55H5(-C^63+?!{-E;EZR7NDzHYBgZn(>L-!BvSnqS3C4+AH&s}jbH z4cTH$=n^;r1Pw%IX}Hu(+`HLW5{u~O*1 z*fU@2*FrNc)e)|AZhbjLwnpBil*l24^oIe`bsPd;Rv2h#exB?659tlM8=s1k75?qox&MHevbHX_gdXO``B!xGTLJ|4nNgRkpr>GXcO1^w{- z*naXzP6zjf=P6`cnYStvZgf*DENS=`_V&4qESviLf>r9d?20?jB7MdFK+0_W{)Rgg}`BEaRuPYSWyE zjk@*r`Thih3gd@A?QW%vohkcur-&qHaFs)6A6U8(zbB8U%F}>>@b9dbLi^p5)p2+)>6`Uxe%0p{HBPKBQkTxpHd!E8GTIAm^-WLfxte%Q1r-|C7^?G z&Z=4~1JIoZTQw>#^SN8eRJ`lVufJbi&QBt%v)w)Q!$)+Su~h2eG>?=kfQlt|qKpe3L~x zIuTxb&ctdTDB9BNG!U0pwc0SaNJbRPOhpj3dIFZO1r!LxAII+xf_%Zx`>p7cF`^)-C2xrM_|8XJuwr z%C_uzrFmqF@6*G0UX#O$dZfGP0T0i3vE0vsQ@(Zq#gfkrBn=)1XiP2lmws8xy(6J7 z|3Rnw>PN|iH)*=z&3YrV;;+2E`mWLB6zLc{avkkkcdX4ynzp^lHcZb<2Q!B!?oAGo z)vju-^&I1wF{?dUKQuCUlr%7qIdOh8Mx7fr!x|ps;dNX_EVXx+VB_m7&Y2( z)hh4D%@wQ{M4QIEwt|iXv?yu1o|(+@=)JoZ?PFY%#VfN>je(k9ze!?d>hvcSRl@LRfgPZyV5kr&}?Pc0G5Hl=#yU4AvQ18IJi z3U{*mihh`#jFV$N+l#;56vwo*vJZ?46gl!36fH8Sa6}>Xz)05&0N2X1%c4>1T*QSc z_j`J)_ya4`h=Mx>N#J5ZaQ{Yk_#OT3Uoc%#05SHPM!!73?j)aO6*NS_7}*K>IU1#p>OOh(~U*;cXBOT-?0qDW3!f}S3ML! zxf{IfSB>Jf=>`1mbU38mroBX^UC_@w@8B3@_-PO|5tCT6vfz1v^(33EI zgdGE}U1m$i064bOA%{OYL@C!oO{vu!Ch1@4EfK56NNcn*_gdNr7wsw-2J1~mWj0HS z7G7?lWVTkSucw9rVWC>J8T4{BsQ{yi{~eu)C-*1f;<za+g+Y#ryrh6USBRvi;P2HE7;Gzp#PrE5ycPDh07izTrwy})L!*=wY& zTKgJX@jh_pBg45p;^UUlu)#9J`)w0ToB8&4ENjMII@%7W7Cfjl5lI&mdUw=EhmL~~ z`Z=`gbmJSC=7UAO;5p;Wu*H)Wz_Jbk?a9W!me1D2q)K~wE%P@gLI~H4TT*kwGqi}@ zmGde{d=EyWLfA};vM^=&P94`EL2-W&4aH650hglvpZgwKVGLu5FupqP$F zDx$qhTFr-+ezA{c|MOoOMH={lJ2=un4nc=FaFCjjmJU)dGBSV+42;Yy%#2KjnHU%j zu^u|if<&?+8JST>P{*NkJbARjQ_W#jsA!#7nhp_cMpNAk2BGrgjLGTk1 z7!1QDIT3&}MjdH@!Pv6^_pVrF9jEN~5d7k^trp zBys=@#F3Cx1RqF59tGXCL&y{`AQxJs$mFBNG-2R)G6i31j)YdY_+zw0U?3|Q5lV+A z;E{)MG}e4zX$X>*2!uiVzkNVqH7J<=R6fAgWewJd2NCXk0GukoMz$a_QjGaQ3POlV z#YClwq_)HI8K{RMh=QrCSW70IRDMF*B^>sK{$uvQ*N57w#PL#ENND-|Dt`ttzyX6t zk})t)8XzLzl%Nn;2%O0xB$YzANkjn_cqD~CQwIn|0WeGxJ_~ac%b)ui0P*PoBowG( zO%oOpBIR&Vg2E5SF(O=bkoGa4I10)4fl-Q9wq_eHlS(AR_}^(MlaQfER0xI{pi)3l zI0Nnjn$p&Xxe6E`LLr0j2n{qI-$Y9Yj>6>8m3Z8C}x zgB4Xuead;vtzMZj^GYhUv zONwM|*3GjBk-{nSVIFHCQsH& zs_2Ix8z2}3qj5wk2f+b*Bo@$3CyTM(2jHX_IF15*P&tI614VH}JYdd;bkz!hV{{M> zcvc2D5jcrdHcSP2Xh>nKDl#?Oq=`t7gp;5)l89PWHVmm3=%Ajd3g|@wXc}Wt)FF}# zoH?HfK}1kMI1%*_kro5$U>yLhs|a<*%liCHC?uf{s0{$%gkXROAc7FuD(vPEXz&ae z0YGCg`2(zMfl&aC8~~7Ts(M5ek_LcNU`Xl|+7dy|%@hHoGF8y#_hYDZ7^F@iksN>_ zaUK;fU?Gxw`0bJ80F&f%!Q6qFI%qA23b`^WTsKWTFe(!OY(P4QMZn+C!lHDmE~L|5 z!ea#4P;h3};8cykC`?*NSSkerpfIW*A7Q0XH!wp01ptVws%Q%mD-q9(Cle2g00c5o zi+Z&YtzCp85fOomr{SCx>6bfX9cL}^uQ3+ASApGLz0HW zNGDhhKxzW;t^R>3R46Rfe2u8AHqk~vu!NxiYhQSJlr@(X&SWFt!?07;T9%@q;T8t`? z$tXexZ~Op)fr3b?wvB^z0EU3nZqr}|q>qZ@;na^kaH)*cOjf#lTfL6#^UoSywSUX$ z9;D~u_OWv0j?d`)@vS{zuz7lhIClWF z z)B)vi5x(z8Xlz3g?>~eSNC4R!9d{TYQvkRO?j3D9oE8QrG8UoUpa^-4DwY^_m;vn# zJskSQ5n*w&7H$|OB+I1EVrzTCYmdFbzwZ6wK5#7Ky3lxsPuJMlx!Lz?Rl|U76hePb))e>lc3G=8h~ME#4FQ?h4K?}sR0y_L_|VwBBc=-AU2M| zy@mm)x6_ewq%6odJk>lDiNvTdQjwr8A_QItJ#~=`BA9+ampK%m@{u*LXzdVG1xD(c zB_0q!QB)8_XmK5+9Oy^QKy2|XJk)Gk}YhDKFsks9r zz(5(@gQKEQOb$`0#0w`P(v(%fv~-eQ5R*A4wDki$0QD*a23{#B2g>D68Q`#tUtu6V zl}#N5@iAIGc!W>+`-6lQBBKHgoFtUGbsdtmQwi+s-d%9P)ejgrbQBR(#0!8XP~ zF|4{4^$nGQHc!ij%x}HCR+6f9Pf262{BM|u?3AOe7$=j| zMgT|PN9OVqSq;Ecc7WZ2C<4bRA7`Y{FlHj~OzIdJeY$pSWdxQ%gb0n2L;wJH@eyzTeh zdU@@KSBH31qe>_#5++KFysR8T7u?fia6vdCofdNx#sI3Eq~WLXffN}1(T5l$z>Z)? zfI3ZNfR)3t-|Mw>;ZUOBL<&p&Prv%U*HpD42)tv3Gh{j6S=bp zBEcXY31HC{>X-ES>_5;WK?iY&&tuViSWaXk_yts_BR>*KmbTMm__YtHotg3R8-LKf z*iilc)`vOMhU3xyd|mj)U9&Z{w(`UX9qppexJA}_^Z43&OX4~my*mAzoXe|=XSigq{JkZ}l*_wut|-ysn)+MLR=v8a%2DB-^O{q4cz(khVXT3a0E1B2 zTYF{I3XB#j*~VoJ*TevX(Na{Aj6^CWD+UWrkS!p-!Uu_}^gtX)(IJPz(S#7z7z~KV zKf+@LnS%uJZD`A@YIeh;fM+J~*)yVWSIn0Me#pnOaa( z!=477ssi@lnDMc%)o+wBAGdopocL{u@MvWAObW}b+37n!tS9`hjm@5y*o?nl;s3sR z^w)Kw->Xi#>lKRSs_UAv%iB_Sk1s55yPI{LbMDUJ8|#UhL}E0z zR?kESFylla(Uza8(+KU-OrfJYk>S!RG4%BonHB~}z4-(XrnPg^4495wyemv^lkTnbN7@twtV-2 zvaiPIfGl?AMp^@98-OIiC~Z#Bv0hn(qeYR-NF|9u5eIv7#}208y9SfP&Fs&WeRMhp3RUh&bI(D1;~_rcV}wO07_j*1|FxDKN&o z0bChTNONTG){ghR6yGbmevUn%B2ftKK-dLy(A?;zZu4+*|Fn-?(wtO`{NREZojid{wiie7UNjfk-d(AjLVZcE|DS zxbe6_U3$}{MbqWcGDSPJ`KKJ!lOA@-o?Ox0v3qyj`z^4sl#0c`;Yb0UC=7*Li)>DX0dMAHTtv0sA&M|f2FhCGM@S@@ zod$`39&|E=2y07wl3N;p56)$^zYxH$l8|~cwMqj;2+lx(05qF05&$SLIBNjYt@zII zDXx^XI=A(6HLVhy7(A=$Ats>CQG8}I*)8+K(&-m;3F?BomGLtRp3lr;i~aA;&U!6% zb=4Ja+;xu^Fz86Q>sYk9KICS7+0|=l@!pBQt^%-Un^pi^i&X(T`@`nnH z2b`78Kd8$2_-M<~cgl9csea94mdU4cu)Mo>?>ha3wYw#vp8*M22*6B$hY6+8T_O-} zTq-tu2sl{dsoHI{4?#}sQT|eZibzKhXxU>h*m0;w1F4pLtJjUvcy9`z{p6noE}~)@8rEF}=Gd_4Kk_pPZe?tjCp#xyv(k zNym8l?TmR(WMr&5yWH1|?_0PYI%u_hBD!a9DFIWYzx!3`x54J*SpLT?J1fSidOxmL z5@Wk#OTCVM>}|E}OI;Q1Ypz3knk;_nXXV|c^61cPARd9?6S<|%uX3k}r)2t@0%H)8 z0S<%~A_5GR4QK$YO2!qC%!gDqAY%9fLPB97qD^`@5+u?CScvUGQXCqChsHfvFbQ8M zhzP(^6C|}x<;URQQaD0@#%WN3h(K^M;IIbTN#57udsZLhM2vFIoGOvoF{dlL<>D@P zCUNl6s&T@$qAYLsOQyR@53cxMoY`b2oRnzgV`j>YjOnk=9UGE=laCJSEK5r)Yc7FBzv2WAM1N64^x9*<* z^!1T@zt?6`hlik_f@7Wdz?qWrl^4!MU!J|!73Pwfis5%@Uiti7BsS}@s>dOMGBxzcM_l%VeUh7+LA6)MCKd-Xc$~C#}W@d9< zvs10QJ9Fr<1kGiYOZgN8(8B0wGgQ=kF;rV>x4PGR`*u?CQQM{FR|Q_TUwodPkgVT) zyXYLblrgb)c`$ZrJS`!`^O<*;v3t`t^m1qCMoHWBC+Rnunuq)pBZSL&BTSM%eR58I z+t(3QM1Oer?Dt)%wYK@Uerxr9ysugZe0NpbBv?`H1?4ZY)1v_05Of|%G)6aok2cRR z(YG{{zsV8@Cj?>|*>x#8h({nar2$nKps6k*kjjSvPp4A=ZUFNJ$xq<};AnWBidJ|j zj1NHZr|RoNd(nkULAcSO`J=Mf0`LGC!2r|COWK`4rp3OkumMVA6X6Albs3Tk8m2}Z%Z#(;371xgI5MGitzfHaac9UhL9i^RRo z#VdoN$VgEw5QJmv;!jtf{n#q(EVk;O6SubFac)UyxWrVxb%$H6V0KUV+sfox*SO-> z`Rv)k#iy&|dUb&aQ9LV#xiTL)*upI2Qr4|x>tnxb7WUiX(V$ha(9E;rwF|2QYqKg{ z_ml){>wVY!ojnSaRu!DfSGTGRDs`r>@HuF1)lXzF;OSS{zQNt$ z*K6KqODbny9NEb>KP(MGf<6KZgNs0%GUO-{3y>n=v5>5wYM|AV3THfxL0Zyb^t6Lf zkI4xl4{P78bu09poxOB}v(MY5YW%DuPZ3Md+&86rXL^))E~}kL%UxZ6^|`h0CHpsj z|1*nRvuBe>kL5JK_ThkD>r;IH+8U%or<=%SJ^S?DVo1Hv(`hNray~+Kob%QBTb`YL zhK{*xHwQ1u7A?j5KWP7+%wpRywHJ2&+4m3E68Bym&iFVz;xb^ILhw%0%`602e^h6- z%|G(vUR8AMT66v6F`d*GXS?ctzugLZTqX2u)nwMwG9tA%90BIP`6Nn@v2|MnQbXRg zL0k<$Sn6gl2#5e$Nd7#2qHYKtrpsUfrxgKkZvg;k)+S1&@d3)A0o?o)Xp%=u z!Naj=2WS8?hsIWX)1gomHqjS}5?yMUvp#moBdxvjh=FJEW=A^CBsW}ESush7}zOuyoDt@bB zd%0dyEvfcSq92#MM08?Ir?g&RY+P-*L*wCMx^v($PAGv?>E@7EzFg#)L>dflGdpkp})g_=a}(4SZwLYxneizv+b{u z(73VNwP+{VW%s$z>_uzMF?j{stndJrQE9BIQ~mSzg|{w_E`Nwu?=$loT0f^R(ao7O z{_LLKX~VZZ$-BE*eeZs4E8KK{)jeFY;v<(dV|On0*G~J(z149RiKxnJ>bFCN9%NQm z$-HoVKi4f>CF`@=ITu#^qOc%PD!?7ty`kk!ISZ%p&VyXs-x9)$@#F3<)v1m=M$eEMm88{7cBen zTSPwlZ+W&sQ^@WviwRmyj${D*8UL6O}w2hyU7h086cJ%Tb^j7j2 zKaoKr?={)$Ir}g#X>PJ?>6xoNqdK%n<6)ebaJ-lF0R}K!?}ZBh1$`R}Lq`HmMpW$( zP)8h&2Tzk&RoM|h29*qh<46#n&S1;rFMS8CZDA?^U^=F8`XYg-4rV;k7TkJLbB&5{u`a-FZDdcyVgo@$R`~KAAJ;U`-F|uQ ziu0V;hCEN!`q{U`UA@{QQARx+=6<=irw*VM3@tEA(d7ZqGWBZ%I1ob552<}3iBtp( z4v?|zAdss7accNc3DczJ|vVQ+jsVrddGU#;pfu@ z7}LyA9Y_A%OP^6z-du+A+&x##mx;wU?IY%V>e(Za=Hqvbu@3*)-{oTfBGwl|%m?_@D*bqJCcHDA0sHz}y z;N+vPYu0xc6EzFM&esRlUTubR@l4NP$ z@nUZup8DTT-^b*Q%jRX|AIv?f`?ADvGHqr1&KU1?`Hsp4|AC)#HHq6NSe!OBd7RDs zAEq3An{(=9^2pNOuEO=yKA+$i%v7d*IfmLkJBB1P(CZv%NkeHLvZ=z^pmkIj2Bg&v zCQ8EzbT9)|fJm{xv%%8~C^%#;#PumQ)*%!aS`3mL8~NZ!c!;*cOI&&4yuy{IJ%cI_ zJKdi=i&b=-DT){W_%Lk4#8%a9prhy%N3E>M$EU}*C6{Yw2iunW5-V(tWy=TTITOOq zC0$6KeRa6})p6eLox7W6rrqVO^$RCIEsk^bsQI(M+}*jgduDejdZ~Y{N}2XCVPrGkj=HLlrr1e4hef4(2{&w8yL4-Ly^&fN7MyEyCnKPWm6zogeT4qI8- zw5&AE)wGgMb+nkzr}-~#GO|)p zGe+g_|3oLzz8&6oN^@VA*#Y+t)r_oR)5~D8EpFS%W2c|x591LYI*2rT-DnC zaJ#2^pn4N*p7+dGa{)jDfUb9UPw*%o|AWKZs1=%yWnRfueB zA<85|35<)tq4-U;qZ@{xSZ~19x&)Ue?+R>Qe;|w6Qb=K1w$%SL@UwH+c0GoG4FDqQ z&|99l{gK#rLb>$A$K+3FpPP^efQXbU4^YCY zsHR!Yo}IS zKD~3V>H59f&(xc0yg#${h}P|Ya&mV5_3`DZ%fCqGI-47=YHIHLTl4jyN;7Y(Z>d;Y z7C5A}5y`##iaB3bctTWb+)$n;KCmENU!5>L|9d_9`f@Rp=szC~@p~ou1QQY2$r$b6 z^#R7h$eZZhU10_R?u3vD={woNPYQHkp=&zgIH`nAa9hd3IskTO6*|5^x@BfjLLlen z9V>_hX##?bbuaJlc?bx~MU?GcweRq^p4Hpl_62I{Y78GnBZkyM`e({(OW(W zB}FUMc^qjjoi0X!xPy2g?cd)5i(0u-FU`u8wpS`o$;u8UuqQtVYaG=bs%!f%g_je~ zm=FEH5g;<4P6<)d15Sbpq)Vz@9~~KANGp0(*_;1k(f#F02fJ4e4bQu^_VR~g&Wqs> z)hPQs;jzaCt6lp{AJ*sW*7A6+@!{*azVO4HY688=YnO%^F+Q%{ZCYvb zU_^?Ox3OQca8ybiVqj#}u2xqm{0-j(r3%+7jhM(E)qQKeC6T`4Ua2j_!PO9Hrv|DQ%j(HTVHbB4+_8UAwb3-i-D zeb$t4I?372^4(L-lC@7gcdy^X$SikZhBThtlXs;zMkrU9nDPF>6{tm@7Q8zF^kYn+ z{dH-kectkQMluqZHa6n}ux0fB$;h+`ut0WkvRvP)C$99jpYtZSP&-bpnuv-7}nT-eb<*YfebImQy7iToI zw4845)-=6sdcMl^Mc%1Zf$OelsmG{yp62Sp{7NgRCo!`4l0hQe8Cd!g2^CrTMb`S1 zklT|ad8IpW9}`0R$A^Fr$UjR(W|QhQ8Jx&>v%Es}GoB}K&cd6W{AStK+t?^3D`bWc zyi7j4IKR;R5iZIXk7qR>QmXS7GP2EPof2bZ0s(fVJML+Z-9uL(z_chLfibWk%b&&3 zsv}d$!abr)K+}B`hXhzBqlhbP;!|A~d+%##fhrZs9|+k87P0?xKN<;lX&KRYetyGl z-G8fnkbBPw{l-!UWAJ#iY*B)@Anp3`r@~uZ;7rWUQAA(c1^5M%syWuHhLBu3;UFA` zcf?a<#@Yd4+y^jjL2ksamFQ78YjFx4NyhuOFtfebb_Oj&H;^FH_ftOTt%&x{>80n? z#=jq~HJu0mPHuagbH?+Cr>91Q-zu+@I$Hl~yu1E+Z_vZT9w+YY-naEcSWZx&S|2gp z_9Ex@u1F2_(Xegv>Ptp}A1X1>JP9raTK=>mR&SMoB8yxv9pjbdlLB;h%f)UOa1cmH~d zbe*l8vo#=u&7;l9Wi|+Gu53bRqB$X-{$!$#?b{O7V3`02X14LI@15 zT{9-=+hrtDP*-r`UQ{}27#MO#hTP#0DGJFH6a;|V@+X^Cuf1mLcQM&{&>?7^9G$fs zV5wSU(qAABg%lx`f-Y*z^B+qq?FmUT{K*1fE4rBeZyK%8xiRQsMiZjrWFaHVCi}|R zOdUHblQ;1Vq_*_EAoziDk(j-_6)r1`H}ldCi@FMdcMfvyzGQ1>{pm1V=ncMgr+eGF z0N}ssuzG{W&c8RT^*giEeDfXCde1GUpPn4Ntgd3`w%^$JSyR(1wEmJh2eQ?8ylP|D z!#8SNx7NP#%tk-v`m>)QZnf8+ePX55(|Zx&7ZOJlPv;Tbv+TO+(atN}az>JFplCgF z@|zMJ9$hg{DkzFETY z;s;pNA52H4P*V87ZR|D&*DVCtFSY$EEesMcG1MDT$d2H8#oPK1vKHW0>Et|eraSSM z0W3<64h%OlbI$G=YI{MzkUa!+ZHB znS#NUpi0n#vB^0wzwnS`axhIo68V8(1yY9SkIe&C@_2J;=nkUp>`0xM{Y1?Bph_Fy z7xP+W3c5_Sr;qV$fdRV63v*_cjy@^PfsV+8@1To?Z8A&glWm#}XKpb>e9as+Ki_Vu zqE)6y{#x)*VnNMb;}%gtXXi z@D;N~()!pD3^wJNg-crzZx9TG12q;lZZ-thKC z&C3F`UxH-Ix(p&e%}9BH10+t*$1O;Tke^KbPKJ+sA&U?~vD~azZbPC^z)^037#X0v z&aQ`&K0%s%Fa20RLld@+!nY176Dj^X`q+|y2Zc8Uc~YyZys^cBF}wO3_SNyPUk%B` zZv?0n@X1LigEgA`=`#~0V~89qVIh06D$qM-Q9|yazpt!5w#EV}uqD-rpukV=Gc zFJQlYa6)-qLazeB|2?fzz8wTr=~nL#ayXA(%Z@Z2J%=C=Dw`^wo;+AU_WeV8uzT){ zoR!?o!M%xILvVlY%yxl%p>V{aR1K~8-)Kt5`VLouu`B9WR$<|bnOfh)nhw^h(GhOX2zprWWjwGKpDxH*%>W`Q5yy0h&-4KC`k$6tFqF5kNR z;_ya~dzb39cAd}j(6|*wuV0%m--DX+m&+IoLJ5q z`pYL}a_dM%sQ@b>Cj5&iBz8qN`K{g#ygkDXnY}!k`)Z8iEd!K+5z_>>?2xHeXoFJc6+k^%;vlS&GR)E$lYwVeKOFD~sx27^< zCMpsmf(?_1&>!MYnNDdyn*eX2T>U5FX^NPhKaL{^Bz)>W4Od8#&%R$Sa#UB=eTqs) z9^827n8+;)mn3yhPPox5lO~s9dz!9?wi^HbtWZ*Xh_oA3p7UBh2CLXUqDMj|VDu`q`5H z-*>nsJma5co!%8Gjbo$Q$s=SKUpqMF?&y!`4l`SBfoB@B)OGCY@tU`_TS6C|9MX!y zSIURd$H2;oQK520fLF?cF^+2rvwf6&mXug1$|BD+WFR~yrTa3p#!rqJaFU)Q*6 zS3jGF;04AqI+wrf?yl`3`z&hx(*%5A1aB%lQEh2{zP`>wrzus;JEh~XYpAxn)kfPt zmwj{kegCH>ouUv34dF6aZCq98#pvNeg?yn%)e`9vNA7s};OrclC&;hk%1w4~fCN_A z(+e<}`hA1s0gGG7JDiKybN`KAAg-!E$e zb{MU9?S++ZoqZ|z8?ozQ!@i;1S!3%F>)Dft-SND8)t_J#G|N_i6V(#+Kth2H=f1W- za@iNq*w${s>E`FA1&z_*9YG>d>&yhZ)31{@0rIN_yDitrf2;5Wnap0In{(yfnNxpF z?K*qx#6?RtQP4*yE}EI!t0;AWAQMssNkFXjhBg+(#QKkWp%`y7DW-W79;$Xv&?-7Q zo=M%3r5JYM@KRRrpN?&(xzZS0L3*13t8D1d;0P9UcbwXrIO_^7?II6OMe+32ECl~j zsk_j5eshZ`J;5uqE?8Yi2e+xy(!G}&w;lCdy)AIF#vQfPb>c}+&=D;ii@+`W-tT){ zbW&%H>4CMc*FED^43%d)-NjDH z;MuPkS%s@+l~{LwXUAQ$ZlUH6i=*e>*4(J=ur7BG*g_y|{di8kKZ>1&7A`_sr6F|} zi9jO3iUi)htnaFFma+emJv#H|;4HNr=?nYe&^zc1T)q*`$?Tpat6(FYID)Gv3wH$Z z+KBa_#TB?j{FZoGgnIC05!BS#x)^H_9UUwBrK?1gPykyd582hWFuikBY9@?<) z#Jvz*zZQdV1>54bJoa?Wl*|QhLVPUAPly_uSOjjRi97V3rwQ+UgGOc|9D7xISs_zD zf0M=q@H)(7%6BL5LCR!bQ+(R= zM$O}QtM)#8efia1t*80s2K{O5ayZ+bdpzPexja0mic)saJnyqy+p?=WzD_+9@NcS8 zrk1eiJrkKuM8}t3+E=m?LG@fCf1ckQ`il3)_n~Dh2SRdg6Zsp`_AV^j9~4`oNx`9y zqg+H1<0l4NE83gb+z-pRB)}gyTJJc?M@)6WGVC|eyfMFM*^saTZ| z)IH_g%2##|9;)P2x*Ag#(6-6N@iG*kG{%mgJtb4&`Nzi$%=E9|(m?FrFfAb~1~Omk%>9wdyzXYDs!7 zo!w1}+co2h!huZcs7(_CFCGUgi{BmCyYr+7E($(=AgG6a((?4~zs}_BJfV5zw8kf` z95sCNstWq4WuS5LNad@u>rS8l=jz@U)~|!FtDK|B;}k#ZUts+p%^Svf)jZH?7J1*j z=P474)>5kTBr+CJr(gbT$H0>xQqIzB1t!bT5!5lpnVhv7r*RM+fU>TKOdoU0w{`xq z+vQE=y<-E&UTJo|;t@#aWIj^#ps!|t!MG!bPC83~BKGk`7UbB#eBJj+mwyjf!UkPM zRI-8Mv~T;Gp1N#+b1zKR5sk((a7Q?7N8)ocINuoGY6? zO&QKVlx*Qiy>^IB0+7@Uki$q26mU8$Srt$hpEs`zHFmBg^H1?6N+I;X9{aZOskyjxl5#;$x+cv7%AKVJU+ljt{;5|`2*fDu%QMWc%{ zX(kgx=vXg9hx}sK$z_Yic?go;su_KH{2WMCo#qUw}@yI||WGUdn|0hQKg}*8B?`Pvik8ua7mZpbzQ4ikQ>Q+|1i|Z_I7Jh&x8AA>3y}qP925WUdrYe zh--vQo7k5fUCxYMV;!qMm9V+3)`xa~Bt5+l*vI_2>)K$5wl{etL5y0IvUg04-L{); zrTO(?Iqb(@tUkWDTx=QFp$gdr_W8Px;4)m&VXe2~wJjygu3muDAB76bvg<5`?) zXK{wIBkb9nArk2h$AG5BuJI`rbnFuQPD% zINe;h2ZIjK_Fo_1epWpHb2NrM)c6beJ39eCX-z}v0oGNX7Cs-G9cUv(RyRJmdZJ6S zxlBy4%_Ywft78$-l4g3qh4~l04g3Ps+X{@aB-cL$B=P#Nye{qbZ%{$ zYm-q~fQP~F_G_HaIlr|*J*@t9Vzm>+@bBANTBpo6TsfE@^ei(K0PRVuVEguw6T@{o zFCEDDRm8eZi*QSbqi)6pT&5mrGazR3?C`#A#nOI$-I z?Tcr0mW>H4CNeW)fbuBvTstD(&l(=!AI4`HnY_F!(MG$JVp)xg9E}6Pt>5G;@DL_@ zeuf2Vu8XkoHd-Gk&2YQ>FgmRFvP@bliXZ~-lJ-D399qcWziqJra<|d{Gzg4Jwk1R_ zyV}Nlh|7~noBF(cNaSGqsk5%LlLMce z4B|zReWzBZ)vi;3hf9ZshS?57UEdO-h`lQPEEDfMBw`L&;2h$5 zh(s!S?6|M&OVW`YF)nG&tu&f`S$y)v4vVoxfeQqUH=l5}Sx>Ud?-FwNsOJGv8EvG| z_1EV~E4#{k$q-c^DQ7etKCt#JV10KVk1XW9Z^x=oh~=U}>-`h~(9Pi3#rQA6%FWjx z{}0v+qGU>>(wf@lp6-IHQ0$h;I_x-n>FSBLJx$sJTr*^CEg0P9P%8RvOYU!>x0_?r z=IVlD!9u)!a%DA0ujG#jU|#A~)yojMTF%d;0JOK<=1B@La8W@PAMz6oL^jCMSEBDa zF!E;Ri<4S5ol3k{;^@m0l*B}e(nJ^zE+#TQtvh}$OO~FeV`q@6`S{!P68~e4Y2{um ztzwO%SJtmRb3SL^g@+r9w`m+sthL`$K|K3e&bpgHL{Riw`_t+s*KPFjZTV*1i6LI- z;mF4t$3;J#S=AG20XF36@)gPH$!BO8#cvOX#J#`hXPh}@bHRDW2A?#3^G%9b*7S`iAZ{M6WOF)`Ic!+&n?Wa%{Xf;4_0gO?^RbQX!yK1H7}w9`YWG}c=1b7mk`19}^+OzA%8m>+UnKpla!n`8uJ%ew zr6{}ZpP=&dUv!oe>@w&g?m93SN$PM8zp9kYn3(iENw~i--Ulh2VVo697-_SlJ>d!>594iK zq_fHyJdzSPeP9Su;#J@EcbW{X^p=PEn{V9U?eQSA@y}FX}!;v zNqdfWU%<_QV_^rpbQ&96-Q8+fT@&9*Q{2#$0J9kHh2PG}0q%Td%yaBD1-zZZpn5DqF9H?sR*a^r=|5j%IoOAM}? z5kOTOq`H3SfDY!~7(7zkT;vKeOFl63=ujq`oZ8b^%mwi}2IKArZrBznL41)#*)#oz zdlRU#ImKq^+ag}xB+AEmm)QWOa=K_oo4YtIr+PdUaGZrTxNGEEcwF6`Wzu#4?5WM_U+&%o+qwnKZ7;qyUFk;Z720z*@fSwI zTiojGfbfp0&RbHt&y7K8zM1s*3M`F=D zatY3(v)blIMWI|H?`Ummf8NeriMQ;kflnBpkf8mQDX!2)-m8X+`$L@Y88+!uo3r{r z$}At7M&S@uA{9TtFQ_Aa_TLve=Z>;PoGT2JE?MPm88=2{zTjK5G^3D+ppUGr;ibc@ zqz%=QMWVcUospxG!Y#^3lk=Sw**1@FB{Zr~?bbDU+2AIDy63Fg{3 zDx~Ez)Li|?_pX|L4TARkjwXyRn>f%=b)`&kMXe|<4t{B3totpw*0~x)`HpBe#?Sp3 zKO0xOx$lyWA4*tmu2<`H18qqPYU5 z*Y#LR4~X933L2l3x=#EeY%<7@pWh#MI8i{qN9W4%405yX^qRF4@)2lbSKh;f{l}-0z^UMrrkbs2 ziNH7)HC?+{I5|ztK3U6s@JK~%%?cS>@uGH!=k@v7#DWC1HL!K@8-g@x4C+d2141{| z%*BNG{ihLKo^Z&^!s0Q;df(^4-^<2#e*s)No{#rsX2=|%kM3^V5NFU(T|RPCG8tpm zl73ur@Zskp_ItehDIyC*uOwFmZ9}vL&OVh?U?={lzanx|7|eYB-SL5<;0aOeyU<(p zrkg!CAGzeLrIDxcB-CsT^e2UGufBTsvn7jCx%{67yH3UckJoA&uOzrC`^B9q8_mQOW5N(_Zo^d7Z8cX}Z9b;cY>2^S%l>@1^z=;T=I zlFVqtEVh+~ZZ{)sBw}2n|B_}!gCO{IU#4R`CEkYiOG~Y&w&|5@(k490#H89sGXF!h z6fq;K8xIMvCf|I~x)*b#rn`MpC32L?rPZr%<;IP|3QBvEAR7@0Eiwq9Lg}UucpIcp zDe=NS!VDcGr=mEclg4nLyrhdZmYI%rk#s*qEp%Ae%r#uTS_M|FtOSQ28<`iFNM!A- zh~1nFPDmtJb!Ga!P~K)^;y3H8J! zT!}&G&2<~hb#`9WSfzhrd+mt;4K~m$qpT}0_t`t2dSM6A&A9NmOy%~0Td06I^6t)b z2cV3fo~0`%I3H?layzP_*x=UR9pC3hr{sz#XHA{KHwT+1<|~@M`Ws3(Uj^&B{|&73 zl|!xD5-?9Q50Asqz0M_5Ka7#;+=!3?Z!N z-2NX7&p%9tfFCeSx9%N*SKfxz#k$QJQ#M8*hGg879uSuaPZ z5k2RJqhejtO3&8ff#t^GleQVlK8G@Es-t(* zrJ}OhS#VKww@F)spC46pr5dF3k^dH?=TL?1olAZkewcR0q#^H`GPfgcOVKD{Nw%+EcY7Wuce%Xyj6PP{qx`kv=>LhTrr zPQw@0Lm-`mx+NM7GKjcHdW(>SG+~~u-0fb$2gTi}e-^9fh#6-zSCGralaSks6*2Dq zMO~6fyIOBz&nGy`Js@2@w;d;Ur+Za1!szq?MVHy70X*ex25b&EdVpRpiS6uT;gI# zwi03HR0`c2aJEGU0Qc(;9e|D~40&52doSutaY~9$mDQqf4V?sxkZ?jI=Bua`%^*^~ z&2_9TdhkIZp-4DIFPBp7nC)3U2Q0@2zx3u}z1_Wdm>_W;F{ThL(ChcZm-j*Nq<0yv zNKBu)hto?#dRf2Yd2OBRmP87+E@xZQl7|}PDI+RmMEei>z{IB7bg);(%!o{Co;nDf zxt$*?>ldX*Qj!r-bgQWR2>o3}0dXZ!poTcijks$E6|k16h?+1c7!$NG;*>a?X-VGC zxoEmOCC4u1+qUf*q1!f}Fb~^D3D;^I%6%tmiHrvu&?3e&6hD>7tRxi;m@xYD`mVTg zOWI>mjS~M)>ie!SC{a(Qa*AKZGsJQ}A5~DH0;DFh_PZ!o;C!i@_P`u>N}SK*owThe zEqm$B>=V)NE6&TnFj1q}%@|K`lbLiFhf@RU-bEKi3;Mq4*ba++r;0`QXIF+tkJP`O zFc8>fIU!9%%RHhkEn9_)hEyI?%D=}RskzA0Z+A{gE4g5iyYY=-bb2~NTuaP$5D+Y9 zBjo;QSd^J74LJx~Qe7Rq8guno{0`WH3eZCRj#@geFChPRNi1VyPTSMflrekzv<#`1 z;$XOJt_y7$MvTW7H1Fq9}?6O6qik6NjHhB{&Z*p(GWph0!Tw@x>VI%=b}gUj6F#MDvYxWjQQAF2NBKZ00|_ zq=MCzS2NONP1qgw^|e9R5No#>ycfN11Uh%+OvoS#ZI_ZUdW`1gcewCrBNmhC z20uLyT7{GRbw!Uqapt@AtorgZwaZCQhA=#qYU0P&;=do2*&pRh(jX3#iW+KX6t#%c zo?C!s`IV8LkHX7j(-pRnbU+;RTikH(AE0BWI<1eypju$TU~G!S6)V@)dl_QKhs)A3 z**R&F2Q(L|{40}_kCf(;4txQ*5eNmB$UE)6RZQV%XqIxQ6%v&lbFL8~i}YWdKyQIZ zhoY&!Cr+7VJ!@~qQh!nzy9b9uLs$}Lh{?{Y*AgDAgrol;lF&n|Ay1K_ShNhKt}YrCO&OmTMoA0_T4HG*A+!Qr^pNnx6xER@&3X zi80ERLbI}e0S@gT#H7rfz=Vh8<+G9CtODuS@>V@g;e|QHLS5*u4i2i;q`8*;a!vVH zH>v;9n`5-@1aM3m1MUa1+A8Kg!=G-X^;h$P`z!VPxFzrCEC0>5j}7 z!A|wl{CCX-t&y{Bg7GwIzJ34AL;sQP1#(K6|49rv~A`)oPI*U z#`|-3&cV8M`U7Hh6;q68z zL&xdJj10(Yk^*rYTDSRYm!d-(a##wm>ESTYG@sfAW3Zopg+tqi{!eYB@Lq4sDx{4G zu~<4mA3Wxp-!B$>TYedG;_ZyB)P?1;2!JqVqcEMiSX@w2TN>VDcsTfLzjcBc)UGnQ zzawze2ZpImLN-G~++8yD!m1;=h{g~84#CWEKi*@j$`?LbCw%Yiie%9}S0!p5-4WDD zs6gT2&*$k!Y7&6w*F40$Dt&IYjUe08PwuHK(QZWyYJkouNzs~vRcu8A;{ow3TQ zhMo^>3U5z3lnSkl4@E8dJC-ss{auDq2Y%OtN4A{%6*yF#YbBzYcus!1+Qv}^vOJfk6ew1bDrYATY zvvkT0@`@~f)^T)h8nwcI**QDMCd$(JxG1>cA2W-}PLbc@g0Z%_3YcZ1wl2!Md5+)J zR!qEvrGm{OVo!4m?tbq}Tbyp_TFPYprZvya=v71`k(ogF>-`G&`l zQG^m|fTgec#E&-CM=ap&25JX{Z8zU@`kjzR?b$eSXTr_bcdgaDB)9#QGSbc`O!f1@Nod>n!-A4J z45yzRggz^$mA#kB=fKsEx9&b8cegP*)HI2M-$S~ht;Xk-e5KMandpjIK8ld@|6jce zZENIZA3U8NZrTt^VKv{}oGxp2z&iir^{L$}p@cpQOXYXiPE8R#XRA%A?Jb& zu0KFdZcB&|xXfG+OCLgp=|95QzY$TKZCj;|B_tqvTol3#)BWsOqIt8xzMrg}6i=cCT(IJ)QWz;0 zd|N@^sP1a>&FOb6r6aL%i}(A@52wvg6e@~!jC=9;;hwnd{t)7P`=aAs^5OAk9y^DRJ7j{d@mdl$TAGf-4&-B85gJRRe zdE2+#ck$S?#p|Gl1(f^ul1WvJe@2ut3F%KuowBW%y%+{#`K2l)?B?tUs^X>qnIRgP zCXf85aZqRnOjYrH4(o(ZYuORevb2Q&s~-*ApaNQ|__LoF=`483PM8*5l7Yw|_s;1^ z2?xsdnWAGescpm%qzYLQC#Rj`Xd6Mv$cu0Dm8oWXOI&^6ST_5WOqseI{YN$9`PlTp zhU+q=O!jZt;AX+;TBwZ1{XpZ0ggse>n-iy67iNpTs8UBI*oW(Ormk*K+$Ux+7zxpZ zF7y6F12;$v?bn|N(=jg7(j6{Oj|3GQi3kHMUf3AeYJSAi*0}S6VXuSJV!|x0JYEuX4--( zgZn%WgbpUyXuC3s5r<&$@1P9Fix$}7IcZU6{s7$J(W_dQ-d-Bbx*&-u>21y;@~o+nwKy3DO)ra)0kAF6de z`1)KRkvdMhLGW>w*cE@D6BqwAf2tl~`0hrMVd7!cv9LHw$-poM zCId=$gjpb-k}SF;N0J<^Vhhft-3?tZx3x+&ZP7gJxvKc>{_VOy*Is?PjZHtR;dvqA zu;&?ncM(#aWj!<``iJWW_i2)_(US46i{p({S^eiRbD6}OL2$&%pd|b12RuNG?;NgB z&tz)s3HGW_RZS@U2&PfQDH0=Vp_0nu!85j;P55XK2fbgd?L7eAod@vu$em!@r}(~? zRn(O=i_{_PGCWO^?6V1wjP*7gs6`A6Zn#IkPsd=|h3F^jCwx?@1S`*60Q{Lb1WO#e z9cVp)Xvp}j#6=X8Zp{R-V{26ZY4k2G3hV}cV542-sNMaC;AQ1emq&)r27Wwh>6dWU zrryT3`g>(z?GNOi0|!#QnmJ!nf! z*=X;wip+`xKR)d$wGx{T*jHmP2PAcv}C(wVA%eP1C){* zaZDAn1p+<5SM)!u|$UJHWgU|Ltbv!TP_4FdCBOyr$ zvlxHwz$|9(KRqvAZ6H@9Xggq>#j02X_}7G&2-5IxM-fh7Dx%7h zI}ZOnwX4jkdxP2t$Y)`MNL*Qex(Jqrb(%dM*;*HM6pI{@4%32{s|S86AGE-AQuF7( z(;hI0IQD6ESYTYqgE<1g4}fLPh?#A)FTqDbtiMcKFnwod;c-0;A}R~^>qgQG7fPsA z$>u!!(88)@rYfxJiMqp_3JVDuNc~xNBKbHGr2BpQeM1K;&6~R0u7-Z_G|=_jeDvMr ztp;k_VW<5jO|N}1xZdseHd~!ZEIkugZkI76YK=~wB&UsI&VtKpd!#PyLMjU$`5FbW zViW8kdkZKnOBZKR)v%n#VBe%;!-O{}+*iNb4GTy=0YrB?@r9kdr8Qz)THpFPb`1?R zEA1rwlj-)`J>(OnS&#NDwTr$LYSaJ;<5YU79Gah4g||^N8mMSwA*LTD8&4Ba#q=)# z1HzCzk#$o!SMA&=iJhDUOG+bsfyU8=sCPua-ScusgXu_L5Or*D1t|nUAFLN(PNo#y zT!<;(dqZM!q2|(npX5?R{m?XHatJ1{=oa~xs`oMTXQ-px;%V!fs?;%iOrq2=tD4Dq zsEQO-vtyz&3(C4^IB3aM=kJY-#O_9@zxh?+1kf68G@Bv4a+`U%b8{i+sg>8-W8qvIiP;s85aUNoL~yf*xEj=87D(DwVfA8vSQz4xfurhWC+ zl_L*5HMK6}QPaY8o zC5v4|Hw3QegpcjUz=VN`?~&6*mXD%q4V&A3A@S8i8389mV1}RLEkWt%&8z|xB%V3h zJD)xz6Kr413JRZ?rm5l~#M9JKHx(H1&7YA8A#vAaE@tZ$iteNJM5?1m<)28(~%Jl4*(pu<4$i~eq&%`n;n z2E4^KI14ZGFd@+AygeiUu`;IpioYsJYT_0Sg?)}JO=8`*_qMN?P1y5J2%Yn7Io;BQ zFuay}!SL?O0R{N=vTb^)3zKU@PG%OP$wgtCP%Ya)hKW~0y*8}#JpAK%<@qy-T3)Lx z9sb_G%}4*#_Q(CJ*3}mr(Ri-Ep&0jm|E`<^w>3)UvyAxxLU{yO_DX`3Nt)Oe6`DIeuFkA2-=aA8RE*c(9ya#6u65cwa{+q&%&$_L!b^M9}!cTbPkF_hp?&cfN{Sd@vE|r z?WxO#i?lkU_5PWfyi_JIYHWq>CBM3?%jLl)VwGiA{)Q5>v+&!j8U~;n@2RN?;Y%^tzletYGN& z4t!BuhksF_v4ka$0nB3|W??@_>?<`hHm=w6w{3pg{#RLTR8s%o{0+&*C_Dfi^l{FG zW!>B@r@BYZP<->%XQ-t(*E#Rst_gowmKEY6HiDhG)iJiKUQ`XOUgv$<(r54OV9sar zFAbLcjSPFMZ==4sHvKIOMfo(^_wGL4hEr5ZNZ?xJ;m*BVj(%HPvR$k4|MyVT-g&j* z$lC*NOg)dT(^$86jmJZ+dnd|q|ERAKJ6iwkV};>WY6w6EYvug3Oo61lbS5co0D`ev@fy#;H}rvxR@ko z?qj)3VYu)O!M{7#&flQOkgInE4KoH!)8db zAI*sDIyR|*{;qwZCfhoS(XWocEgMhUf-Ei#{s2`|`ojdoM-tRb`+a++6vunbki5m( z>+_8fk8*W}th-16E7set-Q|rAdgpCUtS$}v6|DFWhX47t6sZIJ!;gK;|1&qaD`iXB%(emVb z#`&>EYl@w?ELw$9L}H^8bsla?OG+WWp^gI9>$OY1Fo5S}|KsQ^1EOl5Hoh$3Qo0~r zF0dfoAt1OcT}p=_v6Qr=2uOo;vvhZtfC#uscQ*pkEu8|-d;agI{e1S^XJ+oXX0D&t z*R*qwhc|jpCK>E5?jAC>*AJHsi+5j&AI)E{0yXNPn+QaU-8Z4i*c;1eVb;V@JOb|pkvMiOH>*c zHFzjr@_g#y@Mw$PoG_{#+jkme28*;Cw7&&=&0_J zj(215-E)8R{%N1@?66&`Y`vgW^S9mIAKnw5|8~4hgpb79-1fdX{T3Xo>A9s9Xzn#z z%;A|0ay_^CocSrIVx3;Xrf!8Yv!y$c=5lzs8PLvRf-)M{UCoCY+hZK*hu;p`H0@7d z-h6Kxax^L%CAGSh^f;dwVs)zv+B$V=j27Cj`*gXu-+yy+@!-1@OWV7TR(SThd|P_| z^xSKM$29V_BgY!mTEy~e;d!@SuiZDsKlB#87CA>L(ta3zurGFaAtIX9b9mB@pD`^J>GrMLc9S_~Vt>C4dLAi_76(*0Nu?V(5mr1Kk8)CYLU(yxx$Ghw zl{7SCKwbW6zwE^8TTIH*%XV@2PRjsK?ak5C6esZu>x7v)uZFfIfjZ2qe=D4{HMAB7 zlAO0!g88l|JDv?U9v4LCn-U`>IZ`>nH-pu}x6!0{73cejv(vg_o7TNEZrg@Nk@Fu5 zW*UUgHp6@4dx@`J->RN2f7}iEEY|kYPv(x-LzMp0^OD}}<%HCw7w7*rn#`!`XC^jv z0*#6mwhg&|`^k+R6{$9e%4?(lq_Vo}y4S9IWPWVktT?N%WcQ(kbmExC)7f!V2tU(2 z9E{`v`sKQl97aV0O^e+1!J436)3I?(^BBPb+_~&5 z>i+)fILM!&{xXEBz(PFP2U35zgh8e1rmi)HWZuVTCY%T10|3-@%rz7mn61)Cq~YJ$D4oge;EQ;Lb${Z$+gtZ_QN z-2djW_37w|NZAjjtAUgAO{v(#IKXA9*`U27a_a8t@W+dPXTU(jWm}prU{todt7DUu zx&Bw`v6S_8R@M*7{oQlk94WK?%)9lP&CfFQ!tF0Jt7gT#Eq7aIU#kR<&Z<^tekobl z4cR(zb49x!^)IcD7`}S*J+frIQ*e8mQxLt|QMtc|c~mgkF6Bpe7*NSpJL&!7Gf!8~ z@0rWge+4eX+yWE_Gd&gE6@t9czg>14HeDK8o$cjIWGL{$esR|pXi?Zo8UnH4dx}9^ zQ<`vUbJZ{IDQ~VR@3hKx`M14#MJ?s4!U+c4k&O15(+v`hW)@(r2t{WEK_W{oH>orX ztPBU?DduYY#QKROB2Z^$=72FJ^^JZEiAR+3F_K#`~K+-rsU5nyZ-pWl2%U%E3Z3@_(No*`?(6B{~sUuxGuFFieyS{ zJ7x4Zd4E=$Wq4PTIKSh&lC%DHHrkt~q@+e|WIWr2BXz;+Gv!e+Wkxr8=9#49#bmPc z@cBRc=|%JGg5mZ4?d;LP*@okfKhMoW&(Hoe{~Sz-cI21)27DWpw6TtICe+S?TH+Pr z!`oFk3>h(R2e$2pQC+pz`rRQxPzPf4?}gYRw1MuP;hSRBmQdsd#bm5Gapku)U32!Ni@7`xl3DO-CVkL7{qXuWv#6%MJ^uiZUX`dYQr2IRC(~iZMxNUx#m^D+3d5< z@%Lsn^DH_ICF*6gM>_wTDf7@t=odtWbKQUbHDwXN?hsc_E_ zYS5f=>Gr{ro-6@Z_Sy6l=!W}*6j<&o0Erjsp#Xj=evN~S=3o| z(6>JkV|4T2`q*u7#rR8qtn*EFtnL*MGg1}?wtrajAF0hsW$Kk=9`+ipc$=8i2&@%N zwraUq%$bMgjBb5hGWNLKFJ1YDh3E3}cir7x?Hn3wpi*eiWpmTf6x~+cbLrFEyxyK8 zIiG$h)Qn?c7FvSO)vUS5HPxyxV+>dea`C1QjMP&yIyC)qv{$H|G(ZW5ruPA@s*g?;^X&OV}tfa{ds<|GHML92 zZ1!!-r=y8u9Q87BB0Im$!{5%!lQEZ4U(zo!8N|~h?LzO(#Tv%9mIW7&vW+kTuIDEV zZJ$hLq#S7Hn+ChhA^V9BL^qT_j~M8cn6!#Xvb!xT26f1>?O{YZ$Eow zXEzr0+u-RXy65nyZijf;HZDyMS+slH-5JehfI+q86NW7Fbkpf+tvs|#C(Dc3iz3}3 z-}-Gy0{IGBu8*7 zeSRMZ&e3m|!T_(}{#2IU0*p&poI1s~ecHoFw77^jFIjoC9Na9v=o)+@Z0ug1-kaXN zn{7AUIpCWz`q`=zHdMJY(+X=k&cf1~>N1io3oSdKCj%)bFlh7pt4RqPUZl;?trl z%r*N$VP?q&0!{jJn|jX#>bqMk&5X$_tPSqmTURF?28-s4jOvk66$SAuXc?Vk2cWSv z@y^w;!4bWuuiqjzgIeOOh>B97cPMk2>QXY3r%6wyvSWyluC%qVWR%6nqe!C|sMg%j zxBPCuHHRpr!a~UTlbeAANYvT&11yEW%=wxjx-^;ixD2FW<$b|S^#-F~4U}*!6-g&K z25J+kb%u3DOQL|!M(rcor#1Rri9!8t)NlTqAtT|I?+03bsg~XT;J0Y{kplRwL3cTingTE z^Z9_EcmFo#UEPH@Zs@-GXiQ4)`p~AkCT;YQSNr|9EO8Y;$_!Eb(Y~?Tw3%)A&8vzNL;SED&~2V@H<^wgSJIi z4`9*T*`c{wc1Fpf`7M&n%e3E;Z)bs;OT(fFscf28B4YuWvJb*xlhI^kV`jpyoVEPO z%YCy{AFn)B0a)`gA#ruIgB9ga#3~>*b-YO#{zpYr{yIzva5-jGw0W6^0*g)q_yB z*jc;h&Bv9Kz4jmX&mD_<6F-jjWnL36@_rWHjrN#T&BNukT61foaoF|_ukAWB(X*rG z8&>V^rP*f8_ShD6E#cU#p?rnDlJae>Htg%kmQiDl66fcxCEH9lakWA_8dlC%ZW#S2 zy3(VzOKPe>vj>^c^wi?%)sN*73rX)n4G1B^@`&{@nqLU#cm&ORlr}zz23LN+WlIhB zpaEH&z_fV?xju|3IFP6i@O_UDi$izo%HrCx4dllgz)E$wRKzaO@u(KlLUfC!0S97O zNlz3!?3dAm85O(v!0*~H)@XHN?W3`h-Q%)w8s1q$JfS7>;5)aU?DXpI=_%&TFNY3h zwunR%_u0GABFw2>;>EJo{1(mGV%f~6cA7!JULa@c#vRjpbnX{$G=7~S7U2hYZpif3 zL}E1lTwqL07%%^+u*#55$H@rl6#o)-bbUSSRB|JB`zj?i$on(H$>!OPRh!}b3Z82s zq1e2@P4oyI#oGp_?e-P=qnG~%dk*?@V>Rf!EG&X68Xb!P5xsA!*#)Si_kIk8^c(7K zwuy)bhYi>Zk#^wJzPDo$LIOcP84sC|_aUIT7Elvwe?bbg!&L(~Sg065@HjI37HO#Lz99az71BAqA=(ewhuMxj;p`#U)>m#2fJtHBmgT+z>xI{W18o^F<> z<#waE-_@(c$a>lJ<;6*FHLql*TRpdpaAnrW7yV^p6VcDFaI?h9wC$oSix(R{501{7 zi+ekIUeKMKK8V|1|ND%+<$V)t{fzbgS&EAA`Kyighj+6^v6$ft-r@Bnvs0&?m+$@q zwMsEwNUrrWeI3>jZ0!;HH#OqAK5^IP{nzNhgBf;OA!!G$q`< zqJ=j%F0EdaQoheuFnP|KQ)eT>BhigZr!{)suB@M?N7L()y00T6jadw8vJSsF0Y-F_ z^v}d=1;oV-)H(Jv^)C%wE#FlxHZB5c-md#_*=M6>VXw^!3avb1QpWsX7_k89V^Sg# zmY|48IoLom9J_$MxJZG_A_$yo_C5|4oX=ONg3E*iYgYY_X$J7ka4mxXW}m*WKZ>JJ zJ&H{ms{H|nj8NGthUbBg#+y$^vCT7{ zC-ZBbCMDyCFU4%CeMB+RVuE4@hDlom_|XSqb|1HQo7O9m(S5Z63i33SC7K52`=UV9 z+OP#87a#%cdM#U|5!yD!7(pik1HhrFC`K3|Nk;%e2T7j5B8yF|K^4}OOAs~)3N4i6 z%MV7$vLK;m;1EtKo3~?Z9l`!&7Wn#IAQ?510?YScz6vM^AhtjdY=ob#g30tj$rLTJ z1;R`%dDt9vRgW05WqON8xl4G`#%m7+T3ze69kT3i*56e76n~-V+x}4T4zR&!)*t?T z@}^*IV2au>%feh+W7|DT%qXNp&gOv}v2g@J*Kd)r<-}6ch7FVR&A5kAx zOfMLV*u^&9+_h*KKiR#acQPMM8TWlAI_kS3&rs9w>C??Ka+v=?Iz^3^dJciU-)45+%-Wj$_dQ*MNj`hQXjod1GdvyX1eTL|<`49HYb3dub zz_M7}xN#rwdYj_IQ2L-I!!p3 zB#bW!Qo~jYXM`w|kdcsullvo~%{lI5!T#`CCA%aQLAzvBiUv61E9&3&;m zvFntxZ!NPaPE_+I!ftlox7xHTmSnh|y8QFuXycL5L;uOt;$F{;yy)t=-Lj z!R9ROOwZwV@2t<~OaGzY)^Gpb`^Fq!Kloe$GxPJF&L__lYL{C`y|z=n#Cl2Kr=LW) zCT#nsOi8MY{6qX~C%>KPfVC!X!grKmP|=EQ~{hag&5HA)x6m2~6`KSnARVaDwum{&gh! zIMg7B97?@~nmjb_u-tqZUcS|)20j2$;Ia?IRMq@;XAyL3GBh$r>R(>TUg>+&V}QC6Zu zlIlBD)gn*pD9`mPRJ%2^hG=6ugZtpfzsFjMZ4dtIgWD$71-rbWDQUYooEIiGXJ=d9LwM8e+5AdMardjxMP~!>UJSy(Q9{yR{wjB}vf~lf-87TrvfV?!a`0Ykbin zdS7Jva*0|cUax{uU7>yfO>$sX78V87#xg|&Ga{AMy8s4LZJimWM&0+DufziU^JcWUw|4s9b@ZpaU9_PZYBderC z0@%`(EXue)q)k}uyf`2G^xdY|Ys9d6NosXBsBOzg-d&Jx$Xx9_+jnNYT2}4%M&C=j z-Rn=W)@bRbEM;~*bN4;EnxxzPok)x68}BzMJ~hUvjeEZ=3fF)`8hnN*d0| zkng=kY0aX{*$(}dC7c5N+aABg9mI|-2j8Tt5R%Q?M~yRdPqlDQHLKVIn|JyRntR`W z14BS*?~E%ID%j)ZcH9`{X;Rq%5fd6LkA+vSPQ?d{Q;#4)bHy2GN>UrZ5MYg5L{u=q zIe~)0EMfV4K}>O$*f5BL`V)w(1(uCXxoSYLJ`?nDIXPUpPrMPw03WX~pNpU&)`cB6 zL>+>#3VEuOprYNlt$+7%JzJ_3ui3ytr`75R(AGn(BM)Y`y?JyWM1jB}+8$ytH6S|} zdhW8_deS#JC{=>K8%YV7=XsPj`Z3wirLD|8!TU{e^$UjC@s`uGSMuMR#16&O-m6vu zghgwEm+M=r>uxCfo23$-3?e7XfqCwPT8;5R8m@F(Z^x+yg9evFtA9KyA2X`j2AVGV z4Rd3JX{o$f$WQ|m(*D9Re26$Wf*(w(QOZuRn$K7qRtl{QH;P|6 zCI=`(@i<{qGI(1!fu#^+ezz_oqd~BNCL2fbq` znX}VpO9GqN>gMaQ91Mz@fZe?m+jnKYXTC+@2`$7HRo&C?73xCsRS0O9nd5|-^hueC z*!r-|l_8QGh=kM#f=)P$39pc&9fC$o^^r#NL5WB~wo%;)xsQs;;kC+UAZQB zp|Roo#lWU6VM#@(RpsEbD+Y+}_uS2F14ha1MqXwK`rS$DdF3-}Oyi7ript?AlAmDx zL9PHaOiKeGj3iJDl4S^q!1_rFf)^1$p%H)N5V)3XXUB)qJ#MOxUb2?#d#iNbq zW=1glIaQD9ijfi2i0oD|(EVrxSTHV}tzE7)uos~lYqhH~3OEIsvs%doO(cdlt9t3} zzwOTS)hQ}4^wj-{=5%nZzcgua+>&o_Y;Zu&Xj{Ism_aV$Rk74>jgQ;(Ad#BEC^+a> zF(XL=2nFt8;R8cE{>MrB01bv}gyCxAqY|*$5do$CC=lRcogd-vuH&qp4|0L(Mz9f* z5ygYp{p*>8*};t=Q~}t^WcUy$NXS&X2;WGE9#(Yc%}#;z57Ep|lV!CnmrYkiKNV>y zud^Mg>?ucAE-3GP?`S)5BuA6m>G+S0tcVQl3R;P7<){q*yfnqpBo%x7Py;_ z6s-Efec-v2!aXl^+D=VP*P=KzBYjYxp_--An9;~R<1phm3IG4d!?@QmAR@-)Tp9rB zQmoIN0B|UzTDUBTxWKuM|51gJI3NhX`w0wCGNPplljhV=Wa|Pb!vUJyFpYSfX{0P0 z1PqF_Wn1H5m!o4N*C`cbQU{$Tv@!`KgXFO&NI(!iZV?c`Q&TkQtuGIbSC>m7?HPeU$~QHHOobs6i|T z$vC0DRT%U!A|Wmy5TW0P#6b_hso20oManQL0Cq$cK!u24!zZPPhCap#f&$P5az^qn zgsETVry0a>7^$;PSqqA_1 z&_4zr2j~7S(rLEg1((*>68+sii^!-db?4+>%iSxk`oWAV?)pQOMxKgUei!r}Fv84O zX~&RHfLg#&QO5ys0lgG15SSEJXI2&<%Z!`?^D_ad4jRFyh)6EfM-qeCOTzOhpxP)L zY=00HlfS7JqD~{!7T_==qjK5tru7q~lNHI-x$2VTH5k9bRdCg1aUd*)YC~a&^3XsC zcBCx!*+CrzA%V2=rYT{TF410#mPp&%BBSZyaxIRn!l^3XI=O-Fgr#W1=q8WTO3l>S z7ie=^^J3Z;-$!D92mzJ5`25+ji9}+g1={pa1vqqGR|aj{h@SV2o)p~|0d}%JzirV; z5sov|jR~zRCXmXbqJUN^05?xvB3VslVbGAi092b83IH}sV5J)%lr~wdhCdsC@@9iz z$wey3YQi82pdca;=mRuxT%O54fXtLAkDO+%4ktthp-B|DQx9QQXNrnv>SiZpCkvdz z$1%lLR2`GG#46dImL@0!|D*uwt7){F4z@_)a3s*!W;DVlz=79vjQ1n+0 zL&&9{Jw!3^7fyi={k4m0*Gea!;4`z{=tO_lTvovOkyB%!rX3v@j{D3~qDtOHmM zmdBOO*Dl3}7Y2?geC^|aTC#yi{9hDV<`eMM&Xj`@G`SG60Cf&>0H(zRg+kf=mCcY? z8vbM)1EpcZ1OfuO(n%4r0Te%rl;a`Nin10=U%QyJW7tjE#$e!laD2=8Tl-{nK1E%w z3T~ILTxA>`%JtQ@-Jhln%CdO4lC3H@BBFikBCBovrEMVivUCe)6@nGT^KSQIdevq$ zsWc;+^9B2qwiX7yMXl)hWQWZ|i-8L-TH_7_QzSh%6C#SnEf}JS3u7;r1*YY2h!e)R zkOR%DTl11U@#N}|Km0ksG$Mbbd=@UhiFOju|V7?_QM zNI*8Y2@50(d!%0k3I;{2T9Q>ju@F%tLZ)DvsC+Wq%-e`Kyx=kp9I>xK;?lComVl@r zsKvorclKJSWtxvQ+#tNt)Q-#!Y{jNU@NznJaVyhS#MP8jZx&5)Lz_~+#5LpM)@;!H z=|@%lutEcOvZicungCo~o-DXjhy_T%Fnw|u6Q~ps z$I;F9Q?on-#6dKk2amT2&^tNEuVAr&B$Tp%LV}aYfh#m?ib!R}NZANl=jSj%Ps{XC zv>p?!czb18Yh8s^Hdup)V|`vmcwV<&G((tcnWq*o*b(_Fk~iZ-lSNl=gswlUSokoh zuSuazM8;S`W+6-}{Wv%{1XtRh3`Rs~1_nWcnDCe)!q%XSFhwWks=wMh=ZP12D+4aDDbi0NV6zsSIgwwVo`blS@ZY8p#ecmB`@lVaoob z-QLD%xq6(2SQM@Xw33u8q0~Rw)h?XdrLZhZhkR`oSPz&4$vhqiVyQ^v9AqI&5i*Fh z)DtRt{~g#bPf}3M43fN`U!HQ(v%DCvt zaW-^VLb#SlsnK+LwL!u_1+=;jS-IWZ3Q1RX=f2 zTW_e_WwzT>%n0I#AO#;0REaA?$J{h=nDWB%J0OJYUE!ofq_|AsaOEU95Q6Owq$n8F zQK%0Xe<5;Z>l91N<@1<9I0XLw8gU6pqyio4AVp|bE%*CQIPQyJ9K^T=8>f6dNeKZH zKiOj(^{^r{NK^#dS{VUCBcH2OI2ilnK#tLX97Tk$EzM#ymj5=-RaYa~)}<#sq1x7B zFPA1X^|t%%fSvH~nMhMZvq(Kgqp!}py~VYESsM&RQmR5if=K(~jZ*^vTrC6|9E4*F z4?^HLn{3PAUKdN7=o<;hSC@CY1|0x+c6QA@W3T6Q~ zf<=%L$tooL-vS7Ng$2UG1v4T;d=qhJ10DxBc+XXkiO=2fx=3Pv;8@;@AGe-J)^yzY zwYoQc@;=Km9IGK%zI%BsG{z8L9;MEanMe>HZ`hGV-fft~6$ z6{qckJehxu7cHs05)@qYe~q8d)r=W`Y_9VwxM_5FcXQDmdE8!cnUi%*PDp=X-ahK& zSA6%Tqlxog;opH5<*no=N@R^M0;6D<%KZC3&@K78ug)#!#n>g0_rfLP(WUn(^RuPM zp8r73pJw-Vn)2^)H<1`Mg5S3kM8=my%^1OZJl>8$FEY-7E8cOLu$l?)lpUD&82A3@ z>@M%)JHnCfp#MO->QVvNRT zako-D>ARD3`22u5j6A9JeRusV%{SIpZo^o#-2vIe`w)wHDhY@UCh>v5PnMJE5NXJ@ z?)LWV7t@or zJ^)W+m_7SX#qaQ1cXriK?@N0tZliAj?)vi5Lj>iTue>zN97f_qm~gRf`9IL`_j{>d z59#E8ESvobE4*W-Wn3iBCWVd8Uy-Y6fCJQN&bq%gPc z|DN>b8eVet+`U=Z-*__8yrW)2bI;KBWF*8ho`8Qgz&FFklW;eQ(i_sE~K$882?#3iszSa|2` zJlM-VxtM+!s98aDdyeJx+MVs&-s2&{s68pBF*W1H@Fz})rUMU?;wxUBHeNqNZ-$WM zlwEGj578FSG42YxdxqW`Z0=Tw*(~vg9Q$@p-QN1&%E%4uPx2HE1X(8;a98F!a?+G| zN12AnVCPW2Z;%gEAD=>!rXFMc{>05wUp&2q0A7RxvKd2joHrZ&kbO5q`Ir01ZXxnK z`vPOkO6au^^68TIKTw`b4accop{O!2an6oxEy%M6YU990sKhQ% zP?r3-8=Hc`GlAl^Gl3$`Wnt}zyqSP@BFn7VMR284g6Xj+_G2;&QtG%TCj6bf=shV@YR0W^dC!+Gc{}dfAF?m`y>n8GpZ^1lAa5n+x<9S#)FLlyXzzvC zsH*Ng|1q?weryDjulJek=X%cuUwz}i`KlJ%)+D7(<5_;??4~TxOs9l<@>VfTF(X3P zRIWolG;BP>Vk!ft{PEW>2^&d0k6*{A4Nu`)X;&$pRrgIz^gmCJbK#$uA@b+Q|?r~lmEiZjCo+9)!X&A!@+&>#WFrE>=7csnA z^OalP-1LS@MtYGEI&E2VEig=^XZ4iC&pSy;V(-xQD;oV^;S?rL@K*m`5O(RPw06Gy zZhg>{LDh%h-EY;VOqr_EFH=mLiVlTh-+(GLZWHfR6VdN0pn+G||eW9@!7U9BOmY9_4fsOr4E z7P=n>mYWaMs}5*FBA-4}A(?%)X$Z}b-mOeuF?Y{JXLj!N@-mhabC?;lEu3JsdA`AH zR9})cb#lcyGi$CHxW9PWdEFpi99&$#5Vd4frNG!Tn;;saQlW1%_I12V8J)0Zn=nq~ z6BsU5L{jjxjkhPVqx&!7a=!!kjADH~e6_`oxodiwt4f>ek1-5i+ACb^RzesaF84c^ z?;jfIuT!G0p|2LFsUHUr0%4BfYSnazeM&^rHT8P+UKepXulE~e=U9egOTD!$Le&Eg zWU7xFuKiG0tU|l}VP{Y_D3||`Lx`1`!bx4BqiN)879)+HE?UEn$>tzao{+gTI{dW6 z2k1{hJ+#`QjV85g!e)%r9`g{|*b4Qmv2TmJuNgW0BQk^2MR`_5z4P?CC$Bs7{`VV5 zDf;yb7;6mn@zw2n=hOYpJXEi-Fviz{h+>JN2hr#Ek_!Mz_?2Hi1oDo34s=k)Z9MY3 zC-^-rJwKlMWY4pd)cxfboT#XjnGq?AfS8GLwEZ8tM8RhzB=kyVO`-0XGLrqkt)BPF zQzWEWg5~&?c^*0|p#?vAnfa^m$s75@WPL83xG)LEYO~P&J-6X*sPd;rBq(vNoESyf zj~HqfQpbCZZy`RB1Rs{*IJE_@lU_YghESXv8h5uG`Mx`v{nGyLv>gCX>DA@@2U2Kn zy$`87@+-)bMTHPB&hAQ(8%sXMnB*8}ZKXPc=U8)2|A$b+Is%^iZR%p?`*K`}o%fvNuA$?V%wZP&)yKxmDHy z+S?8rO*n06XQWE`o4!ImTDcT#Ol*RBqNdw-vS82nh~+Qs2k)+vBbI+%|HIuhRIeKH zKFhV>JXfzFJn}xn%on??le~kLeT~uaId{}L-Z&f@~p+vNaO2>Ozx#F z3+N-3FWV!{@t$cI+iKOjXY966h=$Ykl5k*aue7CYY*SZoZB`J*-{YzSB7KeG< z6Ctzt>vhjL%+kyhvN@lOO%L$D387dSuJF$D9uvgfw&J$Z ziDlszjnpI&jGw`{y4FZ5Br>}Ddy&L7xNp!DeHQb?G(ij&n8nyP^(slG%PqmeGM~8tRe)QzGQxyTVxvDi&nNOtp zJ;yIIb5#rn4XE)Z-)s0S%x-+;YeuiE1sKL-GXiB5?{@nT0kuhbXgGp`^P+G7wIw&-hsO>Ag!Xw6U?28#!h+rde zGEaU47n)ZZku_DCilqW5dISahz146c_46kyjD|0)5a_slVlFX}p4_QDC{1Q;qph<_;VO+el70h3T{beK50h=CC7i{+F z(n{A3x(MBgyv-TDaoFjJTipAFqKy~jPf{&CW#Zgs5^#<4&|Gx5=^ecLr<epVX@z>kH$-EAACwZjsFSe&i za?rP_W@-5}`NmE-DQe~B?$v)Fao>7PT8pK@f0&uaFeUeFz0Mi7~3 z_DIk`YLWC*`IEI!wZ!j4=BAC@!)A)X1x}s1^j_tWbyWEG;a{Ab;vd9nzCv<70G2<@ z^_Kl#@mWKZQu;T6yUSR1ROPS-ik13`^Lh;Fr)^1Q%J6YW<+uBkAYO$0p&NePPrN zD)!6^jxlZHb-ep* zZ)kA+@z$PuX7Q;os}x&WB|Sf{F#pa9_0t!2vd@8wNS>2II2L;|)9NZRNa7j2`s(Z6 z7r&EQ!u#lcH>tJ#B6VihplTn2N@ALUw6vL^Fgn|}7LBi?hU_mkHWAQc7Q>V8A=Nr~ z1uA$tkuWdGF>EL7Kp7alL8}sRg-cuXOYsK#g>??qrEj)ykOuU5KjTy7LU!J-Q##OV zHXmh}tOb4s#DN_jUtKxK4x(O*Y~l{nuT|zZ#|WIXx5xYkGD~HC)a?6?@Z`wu48zkV z!2pb*9*(uwIQivw19u!`1xm7&vi)%EKqF#dM_;n_n0I{cfMP~gj0D>m=gn5#0nwJ> z-vkGFG6h$%CUf>~HrY2lLl-{p6TZ^D$G@>!wP+TQsEFh*y}n}JB8fKVu$h=ob=aoL z3@2$RoT%W%e)iM%Z(xozu9Phu!v``HL5wW*PsEFAGipb!Wt?muij=;v6=`Z)YPGMI zuVs21OS>cIIo9lK+Ry?Nw2XX$MMWR}X%TU*v94wGE1l7O`Pqmgo2ynNq0eUsRa6V@ zkgT%BC#x&vG z<~r5T#>3#oE@S4x{^`gGy`950pC6lQkq`dXQ1uh_NY~?19J?)@sTUv-M1l@do60LgS&0D-6t=rhtvg_xtjZCq-eJ$3q8{OZan@9s5$am zs5{#$e~EX?N)Ax?!+)b!rxahYvKr^ELUkR6b`WNG(bpy0lPWFZO^@5}P=A|3Atjm# zmq)%?XH>W%oMhr3BhV0a0s7d(h!dc8)E2-0xM~`ke_V&UY%gtMoDGRQ z@N;hwYbD640ZzjC@C!1#Sr0z(F(vVt`&y?we z73n)o>1mpt99zy#9V_}oY9_L5r35;KO{#C-%A#`R`lY%=$?RMoCpeOo_)=ZZR~P=- zTplD-iXsb?`8MB`+C}T$AQt*t^r0-*C0qSETi=mGlXQuIi7s$MWKK+3U1Y_LNkCId zoJhg&ucGDLz?YZ+(&x+kgT{WOlkAvi{g(+E&xNs^i(aO46F(tqt59bWG13kY6pIOr z`q88r<53)UA||C0Nc)O&us>1t-X8lA3~l`7A^KT37?yyC=zA7`gA*KxpPPtl7#}1+ z7m~dMt(8ZFL>?bND~|UEE*X)%I_qQk_wSqxKQ`K1Ne0q?(s1z0kD_+ETbaw7xg_)j zsvXo~o-CJsoiF{N;YW=3@`g_1oUr?ILGX*@a-68jUF4K`bPPBFc`58nm_B_k6GNo> zB~Nu`Y{A`4=~e2YVCLGdQ;nwaQjt#LV)M4Q8Xgl;aLae4?Vnj$eBcT}q!#RQ7q!lb zG#bdBJ+82fLKg*kekCmK1Zfg%0idw2bwTHyNd1wO?|W*22x|^{zO%7~66OA4;x>Ry zkix4iuGY;vtiImDGL&Rc4GVATaOp|oZ+sct(YN<9qeASgsN^tWt@w|Sf^dRny?yR0 z{$AB7b8_Cqk0=468CeKL4;yvRTg9h&&&^;F0XV@+Flb#Lb>#d(u$9`~y2e=MFBR>m zgHosUvy&otCd<=#{c&YhWv&f_Lg?5=XbaRzl(#z`Y}B4^;tK(u zalpyq3h@m-G_MNlj-(6uYr3OewQs&K+Hu8iA(JW!Q5-cd$c)xp3%X&i4*@F$syz;K znSad!FB>j=FmMD%+0l4E0c)20G44%aQbb8xEX0l1?{%_?(ye!iNN;MwU)Yk2*(J^m zRGj%_IkK|9+-$nd$AHbZMV?+)iP9MVNd0Bu-lPY^q@wK-CWtm){<+TnFiDStpU>FU z^osr!Z|Y#@tqqOwGtJ|H^apeL@Q5zatk4av--3yy;W_0(@wAQ~G|$GhQ_iZnB*dUoG+P%Cg5@ec#ry3_^8&8MV-0?=xxrT{tQE4>Z7nzsEAv-{)-CRbK>VhHlCQ z(B3WBKN6s+YC(mNOPV(u2>UH z8P;TaarRp5I3crc5Opx$GwAjz(pUUNjHJ2<`N`_k35WOrT>bSZ)w@Oh!agHz%H)L9 zg=Z8C0;!2T1NLF?05~-7fJ2L$l>y^Eu2&JxqzE8VaA3j52Da>{cW^x6i$ z#woiOg-)uA)#gc2td(k5k-yJ)(rAyiQE34_QK{d(u^_=!jMFLe9+6Wy`sD26<8d

dgxB1!|L>rDRds zNj*w?R2Cz8nnm5ON{hrDt@K<3T<9e^lSEZxt1l0FuUxABwzcA}`APnV+)BVd@>kKr!+N|A@(+s>7;7b zh>Q)D<6%s0lR`*BtG+SIEKy=%Nr}h9LHovv_SS8^D4MsFQ3CsUM29VZc28%wMPI{Y1zAk#v=DO}}qf5s`+`4PygF%0`F8sBLtJ zlpt)3ZbT3eX@&!~F}g%rQRz?&dLtAm0TBg8h!}(jiu|7aKhNv!-F@HJ_nhlG*Eu2{ zq|qs|egDvJJ=+sE|v1rS9# zc*+a~lYTw+hoUM?&6w%cPqm>%sBE>q6LT)T`OTUE#AaO9`cb3yw&0EAsxK|^pHhD$ zC_gVEhx*hd+N^XTkJ_Y}d=&erz>PYV(*3IZi@&|cXG#jAg#LXDxZGK2jFwDN4bYM+ zyFUXQbXYJR8r|Gy5IlK_+{>9JK;Nk?1&o+q()=#%5!d|kLlrS`xU0N`oINcuciBAV zPW^4TSQ+ILxwE8kzVN?uhaH5we^ycWeJ}GZ_Y$_Bzg}6ap04!iiJv{V@(BGS%+q6n zUZUwd(vX#ZPGYXxFiQU|OP3J_PP($9RNbUIf_0 z(&|r^o~yvhDO(`)+D6jD@qzT%cs|Im(5@YQMw?Ejf$>muYy9j8pO5xQCDo`}6l`kKsvMvbGAQp(89Nq* zKND#Yf~v0?)1N{o`sb8KXSFRf+{)cPZ^Gmbg^JU@7+zt^9%O)FnqLl+9bNh&H)t9X z!i^>t&DoYN7(*U&9l#d#PP9PB-$@Mj%%!1e|Ess)S(a(jxB=9)25{Flb8N?`BP=fCB@Gs*R;;!_p&&c?#0 zS)m_DAXST^4D%Ip-i&&oIQg5Kd__E~aaDCgA;#=o@AjEiFX|f6c;Ic!NQ*x>Km5BA z+{5%*X-y4I1H~}1^Hd-zmSTwvGvCSywY&d-W?IS<-m+9Ap?hMUVvRrQ_LGSCRd|z9 zoD(K;<8QM8tW)2dv9Ia05f+O)y^z~jKq(KNG0!-|^t|hO;AsAS{51`6lH#T7+V}Zg zXqjVJH9S4|M*8lZo1GH>?&QIbz6LGzFTBVbtoG~8e!Oi5qc=mBPJ@Q%??g?ocdB}u zyN#)J5f>Mn04sQug`A*)Nh?N`4L_Priu_%GCA0a{`$ClD>O{$c0hBy2D@d~Z?x+4^|p((HhMP4yza!=Q8P!aFR5%OZj`w?XKZ+MqoCtf9u@#(Zsl zZllZS{pqwrG1#iGe%YF-QkHVzwaXy+x%JOO@zMv{+QCnuSsD1~*gOoux>WGw&4}kVI*JxA#lbdG1v|fEjcx4cq1D->ZM2{!eAT~~R7PiqmELQz2Ab^P zeW1pSZ&Q7HNSu&$(`lQNgQVk{tl2ToPvMLx?SIS0kkW|rUPtY6HZ(cRS^)c)sQGz7 zn__X7>6dIR0~3d(IDdQM%Scy8%Tdkor`91E(-T$riiAmP3R93BoPU^^qbtycKCH^i zw|KC8^cB4@d;zp{^!Q1E2Z>h6lxDrk;<}76NpjJymV;^{oYI8F%2>nui#Iyc1t}|)bFTVomr5v`Z2@my z9RjW%mmGR_sh%iJN0h0#j-PxOdbYp1PBNb-Q=58;o>{b8!925s@77`78=LoSv*znc zB$S$_>&3ZtFDT_2I9$J}{ZHo6KD*hJb}`z)X4*d`D_BZ1MRjM%x3}HkW_K>#ms|Ap zIQ%+g&`CZyJw3)!1t$8Drf58A;*o~nR*ie(|A3@4_gP*p4_W~~bJi5a5 zxg}6qUT4?CTh5u{l20g6M%5_-m1?>i?qK*+#Y3i!IMXAv*wkY5+0UUkbFy# zx#YB!Qat3VX zA39(Ig>%b#EzYbjlZV$l$NoE4|I$qFs&Ax%Lt)DX0X1Yx4PgN4vgq3a5nd-Fjeqg5 z<-f{)+1k~k*Ja2}AQB$5&*a290Dd`cjZmkO0yJN0D4Tv-OG~dE3}7`AMQ)0Yo(_wE zOszF+q(*^Bjl+_-Z}aoI%9=?t-1@0c7{5`yPzwxcP@6Os;+0j zeFOC|H;x{Xf8N3LTJ&k>P7)iV(R&X}PZ=g2Ra}6^-(F}&*lKn#mYei=EXPiajc%t? z?$KM@NKfw?#^&a`vC~iDApQ7prl(JcATFYuL4V$Cl)+Pth7Ckjy>K3@0o=0^pZ2n& z;rwOEM%B3g&K-2S;tq#}B|qYY0;5y%M6OMt;+5LRd_VpzZ^rnxkO@>B>!&M~Wrrks zj&8nuklnU1N57r~Lr|a}^ zpS}2F{?#NCZxTY@Lu(e zh`$qAL-T6KMbLJIOi{+gTz`Z+&G37;%&-V9<+j`f)N~oRA^W9)>AcR=tl?h{GB*lA zdUvL3Nh8hyIHl8B#j}^_m@Q?g>+6vV?Q-BsAH?n{@51msiKEt)xm8nz?kmqfRMXoP zcTBAKDr|Ex8#@W9BVT_|4bGKGa;?wLK2fGZ+SH!?(s611q`9Js&N_3RRm5mF{y_R# z_nq03;-D|9{e2;f*X_AwfY?LxLN|?(^@le1^WXDIXTvr2HuI4LZ7!it8Z?aHqg94nl+qdO^Oo+(FvcCmne;h7wy#h_gDE@C34T+8E#U5%vR(pz1BWi(Mr&c#Dy=dFR^zI2|M zmyZS{9K^b8U^$1}Cz39oS%B;!gHuSrhl)M*kftpn#|l)cp&c71`!TrW6$#~l%HE<- zTHi5T`rc(2`QfWzt=|qJGd-R~dWz&bq|eeWOnLa%33hE<18JGo38wYD%13NLk57b^ zUY1UpeV&!ihwY(RmP%ucx1=ZIW+rGv@r8^!vjAn7Vjv&3w%SrH<12j{F|@8aq9(Fw z2rZ;yT&oC3-=AEa|DBT>u(-Ai70Hd(DUSMmmr~C+aVl9|dL`pCB0N#aoTYbCwZn$+ z)#69M+|h}!E7wqn{#;hl7gPP`w`16I;xyK%J30qn_$vci~8CZ@gPs!f)58YL<1?C8pH1U{I1d+5>%P7%oVohM?+=XU;K z5^Mgj)P#?xar1s`F=|>D*G$r?e%!GNTPwB1W)wcKWMt{cSPY*G??uBRkcE3n3lV%| zxfBO%nD#0_lkSX1vJT4OSd0X{4yf4%q+H8{A5}YFp`-1Pc(3H|KItLz8@sL{{}v{0 zzA`DCSMu8VUmMluPd*U`fN5ZScr^r^?F>*b6>2*p`I@xUny0_Y$Dym7D-^S|8fvp)&-)O@uHLKAd9Y+m84FxHGB5!uJ zwzx3bG|^qw=Xqvf-j(dwS*qzY80GnPl+fmH}C9zYqeOcLIs0bNm zX;_$p`fFoFD9mqE{ej+VNoi1@igf>;ssEUhVJWWm0#5$ByE(E zHK&@mzbGKRBL}+A{0+k$p{v2Q-q)VxwOl z&Lfw$ooc+^;Z~(-__o?Y>*H&miH1P-mNB0O^ws?c=9qyu)4LD#okMn`cMaS$Jq1pf z{i}H8Go20GBgo!D8$zKBJfi*t3Bx~;VDs30q($O@G~q-&V!2!FCQ3_eRg#y*5!|+9 zSFzi##FO>=Oz1xRH2&o&v-A5`clZ=TyW0ih6y27@-uN%o~9k#xI(i^JTMcx`aY3gXM z`7DdEERCpceraI`+qouS8F*q@y~x&T%A8zKbkzqHtD~$h9s~r=yJM(k&l0P8@d>*Y zamTg|QdV`;@A3$rgw6+`+`KwR>t~gSj31f>i?J@a{P9U#W)2~1=!)^7L!>JWnQilo zEN>nUO{`7@nC%sBOl3FqT_>mZa(R%W&G+iZQrQ-s#F$yjWx zd5Jg|u+RNo+_JOriOPB#pdv4qqYc66ew(g$2wX_d>$1`weYd(Q_pJot$BL{?-JAM? zHV|(08kT1J-j~Kmy}hUF8HyBoEJBtwfQhM37T|+xcN)2u#&-f{m_&6l@0L9KgD5Mx z?f$3$4mwSSJd&=;8P>ja7Sc2>snlJNpUm7IhlmScoOZZ=!60Xr5OW=OIpLmVl^EY6 znn#1qOB5K&D!M<5XKdme&YIB78qPJTzL6|3?{fqbFzP-Fw&;c*0pnfoi5EY;4F3`M z_{YG#upvpFrBTmo8x(8m&|REcY1CN6Z!a%^5%C5o<&rt)XtmD~uzo`wJ6}xIQgoio zw&{K_JbKFcuEp_F{Y>$_b$?NtXYGu@&gk{e>HkG+&*MHDjZpSdWDdDLdn_gBv zDxvn}xwpUvDi+apXi?xE&*d3SQ)URo_j)VA-ekB=e}2qBb`Hhr=8}IGD|YJne5AwQ znA?Yu3fwHu-KvOA6qKJ?~&O@KFz>rj7c-+BT-1>NQG{U2x8MPuM_X zzPi-P23j?@gf>p*%T6ECY9$;7+RiU-KG2F2RMhfN2qW^va%y*=A3&C*kuvmy`f(>c zYnf=%jK8Ex3N^Yj-^>3fjfBbKR7p)|c*0-rEjF_YHsFfh; zz9%pRK2u$$HmTi`$tU{z3E$IGTRd~5D9W3qY7;VS`tvoUs;-`cX7qypOU(~lzS1!0 zvxm`0N40c$SK&pS9d}l>*HIZ~?pM+L^+g(}qFqwr1*tlrcBbo+7Q5ypiU2L;%5ls! zUOPQuuD4E8`KPy3so;-fmkF>{v&&4Y_6rLUQ~c%?m(vWAJTAXr$eU-qF)jK2$B|cT z*8_B#EmPK}E=LmxTa-UqhtO;rcj2_c@P;6{eS6tKTdf-#Xyat^oEkFp8Qoi3495~C zE_|N^=1!8DVft=v^18$=1&?$1?Pv6q%mdpI-e`ZSBn=%S)yP4n{Fifa&rk z-2(9ng`QFisd01ev5;rd!GnR!;ox1tR_Nv|Ovp>A}ac8)= zeQ8)=<@Yvy5VjkmoeQe=4y|r0Xxw`@X8x4imQO5G+8MCJvOJW;3C?LY%s-S}N_=JT5?M9!txcFpIE}!VBjf+dYFB>~fdR-7nRN5FepQ>oAsCdZr})7QGY|?3{Gxe-o6)# zVvR7@6Ejh8w=CmsBXDEWM~DIRvyS=}fNcHQMbZ^ZAq!G1Mu~f^P7pgVLCP2?%kACX zZk#5BpijsjiVJNE8p|1_yKMJ!t$s6COrq-uZcK2$ViVk0q3=)}>hdXNg18VS7v&s{ zFtvfrsmWhRn1{_g{7C?=*O^xezI5S;LKXB!bJqt9x>r+b*yZ0O9csPs7QONE&kGhF zhry8HNccj=63U&1+>;Bpel(HxBJ=VuUit(`Cp$t$n_@~IsIK2?bH2CthX z0cGAETq+wP85CfiSeUfVO+6GaN3z8-$xH&)&K#DOb?`~V=~%HCjbZ{ zJoTw-()JR4Ga)bMG-Y|@O2#m$x3{4Uu#m&60TTJT&nugdu^iXSy`Q#>c|6oD-c`_h z@6(hPkMS}dI~2TWRs^Pu`&tVf@gOnb(n4R7%oH~DkLur=MNFj3@4Wl5gKTqp&&M$* zM8mSkdKcSh+p1ba{)lA-n73JyUI=n@lSX9ePKM7=!6b9U7A1=DIbG?(EzQCRFs2`D zrx2VzeL}CSbbSqj4_NW%nY?&{cWIq!_30PCw=(<#y)t4*R;-4TJW&C z3mlk`ze?rO#h9!^_XwxVQI~wSD=EBzf6HjW6DQ1*>O=Wx#eC{Z+S8iIUD65?+&fvb zug1Co3lvr?lXWQ956(=1wvw11`LqrjooP}hYBk|)YT(ivw&5QptaDfvunpo_?M_kJ zdA(;Os~c4j{&5Y+fSr4b{1?=gwmlaaxwUX3oJp%9rkQ->N^ONC8*rI4*G7rA{XRQN ztEQ{1=$^H4+{n_f=D-8&8s*qN*B2;vv8DhxlHzM_-+KNpDz;WQ-~S$U5bj<;Rqk;KNz z#xm8Q($TRGY?|>4#3ooI!%9Tp?trM8q%fOxLdx&^YjG@l1vwdSJdxUcajK^j6$7gQ z$P;UN<|SGrdwq2Q;1dLI!UuxC zcP)Us=2E}3B{k7sfi9zF?&r1}(8lNL@dI7@a+6e>nJI6Hr2M+iPzBTSH!}6KO@ZBy zUShe*X_5&O{pm%tX@8MvvvbrF-|{=qmuuyo9F^=z+jY=Mc5fIDlkr3v#7cEwI&{!j zM{EN~=818ezWd!l5!08J`F2}|qS@dzC$_B*f zinB_YLhQ2*dH#uWy1UT88~4q4ZU!O@8`XF?Jn%dgBk~=21&jvXlz8!M@$6l>4-+F4 zvwQW>i0f7X!j}r_8)SGuXHn>p+e{jRk%n`tH46H}VhL%Puzk?_Vt~hmhF^}%k|Rr= z^pCDW^rE-+VrWJL)D31VKr0Zs#xx?QaW6gp%}zV@xuLU z(8ah$+IoBI9<;Z4-8jU~(*#D3_EC{_rD6L5MyUyEx!x@qS@*ILk;qHnuDjnoVHR_5 z34nVyU(d=CSneaGCHk;dRyPB7n+yGR65!Gk(t0z(-G^sJzaEHM{#ku})=}E>{ZYx} z?Z+7YC-th!A5B2AYuxLZ1q5C6<=(;VWwlIh8$!)a~^y6EEx2TPYcq#(yJz9|TBiqSPjq7pP?3?=zC zE_^yG<6TBOL7T?-@3VbXak=a@z6F&6CMXinP-4Y7c zwxA!Xt7*NEr@rYUdVZp>NgCwEAi9f0z9G-6D56s=Lni0Gj^?IpZv)GE@-2QK;5))YfmXOnC)3~Jb2trNn;J7UD zcjRQu}Ba-51D@)D>$nIo;xVfE~G$`O-b8%DPzK;BdBbA z8-)fmUs|`8=V<#RL*ISKdz}JDa3iFQWsP$C8B_SM%#Q+Bx_(S_8L}Y;Kk=Z#s8 zA;RcDQ33B^eRxUg-7(CD;_2`8AeNkaYP`88Fd=+2sfY`j`xmZJB~a?n49ISIe|CJ7 zhq@{gz~rMK&k~hVHP)Oe+l@g_HNI=>y*TV=fgHB+KM;wLr@rqw&KZAyQ2+S%)Z&W* z?{hQ^R&wcM_~G~b5ktvu&*ubuuGOgTRq?Fjp7;bgm`e{XX=`z9Q;If#GHZOV2C zPUc3?As2H$<5WANpPA2aZnu=z!@fqZ|9WXCI? z%Gd^dx0}euh!$(F%1CY$_iw8T*5UAzgYw%(dKC6v{GcKmgmc0@jQ(uuk;(1B>vLSB zR-wTmZ?dM&5+`5VVO9oF%ktXd zrpBnX{Nii0!HPtco@W(s3Y2P?y1Qu%7As#Hz-Xhw{%NTdHo zic!q*{3V3Xf2_zY^T3P&(U7)nKulqdQ4hFuY;KNjwQ!<}ai$uS`n;E~G`T^SCxGnEPC4??#HyGb3*k?8{95;cD(XEJOx{SvkI0t# zCC0^Xlg?jrD<_I_3b zpB|I@f)tWjio{4DF&bsbEiy1ZSV-S>_QBh!^d)AChy93p{EW{hnQ-5%oBQfZcjx|5 zh0yBpH*EHmG;^Pf%8|r%Ae-?Tnt2a6VEKfE@9RTi?yYwZN1Wl4R$6;qm0%9+jb?46y*#s; z1D_e2%{WEds!(Z3R)Jh&3O}D0=@!$7MXZ);-|MUC5>4&LxFU`tI~Jw+ood|p>QiD-SJ6jrdC;P=@;);D}t zKoL5*Ttgv!MLHo#qLz=V7k4@M(}{|pM;(V2R~umVz}G>JEr;Dzw>Sza4z>GBs6KUV z&2Q>_eZ5G+sx9{M;Y}70fHvN?#&mq_ta07%vDD0X^-4;Tpv-_x zMF1o)I^QHP&?Egtjsq`~+7k--kElJ0aE#APzNlw>9rs4pMx!|AG8bUE-Ret-AyfQP zyTwZ!DtBEzQPSoQpN;G@Ac0V8aq>}4wS76RrbaAS55R+rjUjL;YU*FJS26k{EcF?6 zF}Kj~s29tdLGTuG>~%R>WX@SsUpgPbEuuy*6t`h~Xk02E1i&DLWI?RAa!WJ9>1j5~ zk9<^cKxq>E-oPv5K7Z?vJF;kEPLNRP*abxGdl5xeH^iQ+^JkjG?7|^P`7C9eA+(o@ zw4J9#0=vW-x3Tj1w7ST(20qgwu}E~4_0P7`f*y4yJ>GnCH2mc>xP8At=nu}>$>`6* zs8jrQJmcqw+G79$X zxrEQY&EOk3h+Vk-Mx?h;A;~=aflM43T%K>>+MRQAP$`NLG1D%q5>qZ@6uOz(){0y^1*~MSQ*WQG*-mX9dTDF!|m0QLoAdteT2KdpIsS^^R zd-lfTVGCmm{NERUB>zZfWScTEPc1nJ?pRUrm-%M7VwIsbch)FTj)UNu*P*)jr4#1l9v0I!$7t<>1Pppz zfz3@+POghU8x;tQ{z2nV1O6OQrA(8M3@p$eKD#2uvZLq73<&snK=v2uWNwrNz?_-q zJU4x{^7hJb+^z2Tf@9}@7X*}CKqBK}P1nOZ4X^6;VG6KO)5r1GuTBf3`@#EYdlC5K!!y*$kSJGZxY27@;1`wu{aM@;11 zamYyC>yF=t{MJJo8}rb1n;6(-WJGN6OD|>_D~k24?cMN@2Q#`w5-XC|HcF|qKAwV} z;5C^ZFHTvhC|NA4{>g=J81k@hXP>KN18TVpJT6uz%3X8+rFxg@Qp7}g)bv6V%ZwN7 zhE;zj!PyZ20_cz&aaf1F(L$>E7(*#7Juw?TDi`rx4k-Uy=%&2woJ`A^>0my7^mJV3 zFxzxDxic@Ibr`GzMniXjLrIku1p0Pjm5+7Mj4qbXaeELaQy-1?osCxCT{Fd#C94Z2 zBGnkZS?^+MEoTX5ByS8J3QA1>V27@M1g09Uw4&Z6f($|H7{3hdj~P2D}y%$V{i}Q@S$E zB+rX>X6@(MZq0NhX#!~6AraDo>5l4GByDmrj0hb3DEuJR}W#59)w;MZ62(TW$jGt zJYv!iCz?tF?hBX1C}qk%Bt6jXduz7VYN**@irqBGL_gjVEz60J6oT`uc4ihn(2Rhd z-?r6BvX;+uxNTPgi-`y;SwKO?lkPo@7#UQ6^p8OrqbsWvW<-<=if zyRNLkt8rLgkGea65le3|+H}bj-`=uR)8$$c_rgEDV67^(;zJzBsxmy%gg<>z*=Z zIQ;F$@}n+bxasr2aj8G|96ne!3dG~`Gl?TF?ov-1D$;+cskVJ^i*RM> zbpce215`O(iCM}$_UJ^_n=^<%?VPr{F(Iiphed$MSdDu~uAHo) z-bt{|mAUjjEcP?F=X03I{-Db|w`ed)J4MQrhiF8C@K~HfG8&P12*};62u1?=kd8)7 z>A%8<3+bBBpi3`uPYug{qX{SMuDO}U98H5^BCBcUX_P+?Ef*2raJm%`o=&B74%8@n zb*S}Y-GDT-yjtvV`ymex^h-2 zR8Pz_G2yYMYsK~Sj1SN9#d@_vYz2i%NVT;3+cKO|jd@!r_$JA;#1Fh`A!}bi+>^dw zD5A%-G|>vJql|x6ZT>PZ^L06%A*SnZjWA8^0#Ljzp(go)10n$jD%_*Sh+@eIuK?re zUN9vggCnS;5wC)Y8AsAeHFhR+BUsj-yDj1m5j zBfS;W9djT}?jekxd(f?WQ?A8Qx7+kH*ECl}c66o*sJ+=-(?u{f zwJ`QG-hAmaaP1Oc7zyUNn5IqcH9)^>jpNfXv|elTa!elHNTPjHq>$N2lYP;d7qsW?`~#Tz=}?1( z-Z}sdC3CN7Ga#ys!OYy37Zfhi1&jj!QpAj-*^hSP`CsH7ZM$q@{Z^Jug6Y>x zsCs}G=-5~})^c|b_D`PLi&F_UWXfZxL-c(F$-+$B&``vX1sMT6P0jj&u{Dh<78F|0HFkXdAGo zT)oYuC6h=mIOoxldh&fZ{0GEB7^V^_=F|a_nZ5wC0Jn`)0 zvjl;5+GTV5x(7bNl{sEcrf`qYKIs^Nz$ZVSF7UA|2QV0FfN}Nzo#Sq}cw~l7&l{Ge z!rfuc>Xkt<4PtM7BX!X*J{J=NPY8;+R2z0!Ociv8WS=)R9BHykwgKmYtHwjFjtoE^rO_Pq)(uMzT0+@=DrQT7#oq3=*p^m zv>+}mlqPQHh*P`6>p(m@JKyu|zGm8`zmhg}jIUK^W6CZm7gDK@R2%U9JvvGQ-uf*o zS32rAc#OHh+5Znl`Iyt`kd)3`BE&4X%|3CPLb}eb`L^C_W&Kd|A*afex%sw$--`+H?B6#aP6>c3Br>&~`Q&!Vj|WsEwE&!J|{*^Oiw?D?dlhlQTdgc;~u|&&Y7Y z4E(7LBp{J{ldC2E4p?14j#ty%P*_l6y&a_P(5YnZsOJe(wwybl;W!QU^!s%m;QdjK zA9DE3B9ygh?K0-WzHN3ZS#2;MxJm4ZEmQcnlvHtYFDa$}N@?QMqIKnd1lKx$P8Kdo z?O9HRp`u>;$Y$#+qfix3;0WmRHTG%+x5B=lCr0^?%0hG~?Hoq)I+uzL^jHk>4RH#) z(K=H1wxk-Gqty61@@+*;9ZQ*Lw{no}4*nVe&VttHt=Ci^%#E#T(}3-G$xq*DvfYy+ zqKXXI$~R=8w)Gb^9-~_tT9W`M<{2Q{E=(wub)fA*lqd{F%qLNTWQ14uNGU{P7KeUQ zRWBRM*S>XMCZ3>eR)0m&rN*GNTIBR-1ML-6@t)4HEseg`;y^aPj|IMLe6!;ubG-0R zuk@{s;OSTG^{oHSX%co5E%ASyk?eIHV-J|v10z@K59f_lv4LWKQ??*xgGr-TQv0zP zGgy+Clkb1$zJkIhc${0Tg++)a=N2F1HiqOdmd4UdG zk$lVmfm!C1gdm@vwf2rI)upD4Oe)@WG$7tU(V=JIHG{=FBik` zh~)P3H-jXB-a?!1>^(EcB-wGF(8iDl6s027S@gmo2iDwD5`?{-6>p;#{+qtdcw=<*aMy@rj68LbV>*Qcfi*a*yY_xgL<0 zzbOhWdKSf`loN-4-SxHjJClSL!`*vsInYHK%j-@y==jS4rxY3clIB@{jDeqyh0LA< zpO2{y^d1-SUe*|KB;V;#Pswg7+YM5rZv_?^XI`47l&R zn$(}S-~or45V#s?>IF;Ah@bt#z00554B2J5Gmy2`DXz>5NrH22p487mmbVTGf^7y?c;hEyP#7crxE{}leohNj%e(%h*jtg9ibj8zo|&yd-x=2*zziPffzMpKhF(}rn|?#ec)Q9gvajy<%4u*GS7c>U zMCi&07N8^RdwGQ{XbGP4X{!zE-PTdxiY|(6k)4o$Z}b*BF3P6 z76H+@V#&mJOImpvC+<*tqk8SZ*e&;__w7p!s%zkhaNX1NrhGNjt8Y<~l1*WeuCCcI zR^Wmv>Qaz~$n@=NETT%y^UB+fcDZu((YZV}Ajlc7K>6$z1{sl%Vl(7tAjj5voj+Gy zVHhCvT=GOGOHJs74skZ^2P1AY(PAWr= z&bbw(LjzwZH`LYJ=uBGX;V!zBX+icrUE?@8xU<$p9Fi*czh1&{jW_&69#YOA396Zh3pl-JuG4+Nbyl@}MQP-wn2}e>DRU48olk$bP7@$dHj7D_O!IRHogu2Liq)sGun`GU0qT^EJ#r1{5%RUB~)jtCH zSi63Np`rX#yL&c|Q8tiLj@1o_MppLSG|dIsS?nwe+eIG5bDN`>`al6;d67q?+AYc0 zm!J3N-w4VRA|=vVxz6L#{MV*$5(kRXJO)%FojOX%@g1MWMDr#mWgfJ(6>yzCV*k*h z{_>KTHV`uRv!>9NrSg_on)S@HlD;#J+de@K8gCL~ZTC;hB5MQmJ&x-9mAD4=&J{Ln zFQcLBLFF}ZR)dK9OCGA@*!09jFYla(P~BwROrA4Xq=vu+f!XC1G-UDA9xyhUxmY(j zI(o&dJV<&)@aj{}INvcBhiptbu;Xd=cg0K8U#IMx(Hw(zG8dpRv5DIFCwjt{xA#Rh zS*^o=IU5RR&qWaa>hOtp&MXc+P;rFeE+cff9wp~!)a~^$-SjLsXLa%wZa6V#-hG&y zvippadv#KB>kqDv)8yX;XmaIuUZ;R#Kzq`5q`>;fZj)h%Ykq8B>KnT6(v`P}P^^R} z54L$oEkDfgZpmHpbd5&tbZ;EtYNP7o2GuNrkKnSvXD9tK=JAb=gJT+`uoqeyS7-N3 zfW1Fea;Ci7{cmz3?rl1E#K8gAqtn0O;4c(==nQSuEy(_P%8d3wX;d`+bm#~M^M3Uk zFEZ0_)F_;5LKs1BSti*H|G@)}HFrwg_dQ5$jOjw5dd6Ga`I{Vrs#()w9B7hg?$V|6 zukHQ^Nt|aP|Enwv#+>INjCYZY1SI2K1dIRwn6Vk%N74S95#@hC<$B1CE`_D8^)+{w z_LaP_FI+>ScS)V1;TT8)w2ZCr1A}K=2Fpil3T>mV&mfFw<=v)cBbyCR^H7mKXW3+o zsGKo9t5dH#oo$$tb`0cQ{1eb*(0ny*Bz#cb;o2|G`yKR9QG@trDeRGyq}sJ=0k3~S zu#?I04cSUwu7@zTk)(1|z`)1=+&wA<MJ^wD=ZGV1I(aW zC@LPVF78WQF>LfjKNuYNAp4^-N)-G6THa5NXU#Tc8ry9E$C?(XWrxp} zJJ@B~?>)aLuTDwYjGPe9?GLMgT@nO6Z2j+C$fOU4NUCL9=Es72!`6S9nnpk0K);hD zn1(_;Eck5K>dl49$7AaPub6(1+qRh=J5ou$7{jf5>1(Tup$M%Bg<{!40>q?dIBVtC zgKwxoQu8MUC#ePWEF8pLN7cyIxBzy{;jY|#p{prbx04r+$)GW*shH(R2lvKXc)9#W z42`^$(}cCe1KV8-LDC0qw`>zPEl$G(l^=_XQ|#-uA}44X05QC#Z^sV1NV&2}15CI) z#@$wE@Qt%U=!3h3Rj@@4?d>q`A3T4p>{cF-mn13_ki}BQO7cb}#*F`TE{O#o=n^;z zZ)l(BXrl&y2S7HH+o&6yT}`LC^gc=c4T3gTmJI!J5KMXU8SfTm4Y-TZze(TI>7@q{ z=96UyT&Q-BQQ}Vptqik@&;N#x(zJ`|W>$7YjV=$I);dm6bs|E}Q8?4jn#BA(FO6#H zWG#{c*jwnKn=XZ1w)Jf}dtl7hx7%iTEupG2oz6C-dt(51+{n-_Jm{9hRXs zqm^{N@^J`?bdcOB+;m{V!QFPr-SzuS#yH7!=&O*jPRhF}X!C?msCi7}?K{gqw0~B; zI#_V&T6%+xAlB>ze50-FV}fLEeF*Y?JM^51eHb!61>1fBc^>zwpie;lba{E6ObNI< z;a4HFES9-EISNth75}oFznG{a>4b*mSVOW3-#8@rKi&_BlpRY5cbFULzF#$L^~_(# zUtZ~9fAQnI>ia0(H#AGTaV53OpXF$xW%a)_Ye<{GU+ecb*DNWY!6tc)9wU%XH)Xyi zuymIU>($GSnysTRZd9(VKMLozt>w?ln&6ech-7-%%V4LaWZ(E9HwYF?M;O}KV#We< zt$=JXGfpXz0rWMG1vi56u60@quNbc>3#0ZF_;^bCDW@hRo!%V#M!D7byOo%Ik#16U z{RjMajrcd1+XA`d#FV~zfZp-rB9cM=hgm;cRY&KFiB~dAmP&O^7Ehi$-kKb474f+L z=KLH}gv<8labi2JOe(RSJ)*hx^qZa%JNH(2P|WO=R9RUGPMj*zu!;@s zrtRX7P&YiXCNFKBK84D&S+xa|0|CpLZ>&yi1&ZJKPp;imAx)sUNt)I=7nR$urYO(0 z1ZptQV-?>C^z-0(zk|gZtRRu>6kmvaE%`(JgI5;>pJ%(ViKI1A7c=c54tQ|XC>PBN zs&=jS0hgI)%{+wSLr#Y%^Qj*hh~eC-Y|!X|?3Xj>1&|aL3+Wdw5j3{A@nWr^H+^EK zBBVi0=*s1$l0nq?f9Gm?i8;jbP2(l*eO@Wf31C67&6Pk)d{fPpN3RD_8q7WHy9x1A zO*UGiQHnwdH9fd}*val-$xz>89X1!A&7nEnTmz4n2joBA#_rBjG(G;s8H}apxB7`P zDN?o3oAiCgnXi(FpH^lBNS0JaR;CD@FxaipkI*($)+ZTE*QKzu?xod+GbRtDxYOI5 z*umgh9%lL>rzkhtJ>u`a!>3Cxbr%@ncsuFKJOYs0A8HO-u&hVw>4Uj-ojFg$nEh!keG@gK!z7%k`uTbJ z9PA)%>`cochBX}^barGkd=u`N@Bu{*0E<_rs+pQKz5&&Stk-G-81#(x?Lj>4p^@e` z`ga?Z^lgmdYOWSi1GYHI`G!f*;*nf6o4RIAKjFr`XZe=MVcXI%Bojo;;*1xFpY0X% zysTV7*&ss%cKCRV#ye_toua*!A^obx5R`?f4gn<*Eq#6_V(PA`_QGcthGcqzLNa%? zNftQwTp8oSalaC!ZQD4gO|eh^Ka#FIo(cc|7fbGA&bh}hnfu6*b8}Nj zBDpq{+mI5GE5mGa;Q+LMRxwA}Y!=W=P8Z?rhu)>g1| zX1wO<+S*AS(WIP#kfy#hKuc`ib&SH+W8CyMwz%UDtBMNFcWXH44eAx+=6Ot;f+`F{ ztekMcx21MYIbOf{?||E!^JU0aHn$Tor(`uk3}m8Yv_2HQadz-K>VzDAWpJ!gB0QF3 zH;=vWcg&Qot6s%_8j%_}wVIYjl#xIfNG+l`-&M+U6aQWH($OX2Ablq3Xm(OclNEW z*r(4rehMIsl=^QiRyo~-sUGaqFi>1PTYHa}T7$JXp5h&F z?c!dgT6d$VquUbqO=gyTCzstj3%uUE)N;ltp{CwUNHF?INPWJogVj77O*KwM!_SMP zUn<-hHVPX&GCA;hT}8lJiXm*@m*f2RhTuhARqJ~T`U>W6$u~zLf3> z4bS&S>$Sf*yNwssdrK?5vYHK%_-f>id22|gRQOWHr>Y zo$8j_Jo&puHrep8r^W(0~!xJoRnPJw5=TDwamXd;b@v)M3%qki;S25 zOs;}jBCZ)j&+^Q73QdOcpDokYw0-8vP_^n z`>SLwFc~=% zSkCBI3YH2N3$|>!ZB=?AVC<{8(16oR4D_Rt&~oj@b@pRl-sKJ zZoJ6Jkmv4^uCGw?xc81u~Wyxo#ygA|n$KQe0+%7+eUQvii3HZTg5s+-hF#@!jgib;AT6JQpvs?AFu><^P;BTL$m_EoSpd5spHSoqa2HqBrC8 zp{mZ;TrrCDtX~J~pfSgv@`q;g&96hzo?=XWp=%s>t}cf^_dJvLFjWv}BKd^M>f~QK zaGRA=7G~UKRPX-m=h?lZgMB^_k_7b|9*&l^<}1oOk()*=?%qBg-|%{2>;$r??;hKc zVS_+Q`$MWXw1_gp5RCZTSvxm>J8MmoE^S|>)Gtt1F?7~B_{?$1jVa2*S2W78rU*k9 z_dk<{`NG5-b;sXDzp&BgSIK#u-22xU;7o2hEZ-_%SwqlF3q7ae7Eh zI<5SK2A`JX4)-h@rc+5nPM_Caer{cdKSri}6%wBew{0x~NUJg`m5a;lOqfl31J>?Y zh3dmD0Qzx_K4b|YKFd0im?v`x+0f#}{PBCVdi*1w(&+buMI&n!SgBi4{Xz`l=r0Xq zjeUt;iv$xb-yo-pUv$vJdWR=%Z#P^#@-1ya9ldUv%>f-Ob%0td#d1f(J8mK@qF+8l z8Gw%%0^lz+K`%{lA86MYWS@gvEn>6yXvk)PfEf7Skas2%vxKa&g!=FtJW<#sq1kcc z+05K2qIf3vDrtaom$xShqZUb@$I|y$-ICJoNY@CQn?b$yohZ2cR}6lw@Uyh3R+~Y~ zC_I1oD$Bz%I2&fSa^!ddA0t*<$?O%qg$?MpF~uOcK;egzwL=nTWfcYPe0Z^-^F_+(+YHCO zi<&nlwBHIGt^a^oF;zvT7WrQ0;m#BHa7sM+_tQ*>e6L`wF&_JfFtD3-TIQW}U+vex zcqQxY93dM2k)QV)tSiUY^b5%F4nBL`rB`ox_wuuTB^5oGU$yt^iyMydev~4R%f#tu zkMiS!gN^ceXiKCbf!c`OxXzB+Und{M{o=nJrK=;>Hi(mCF3t=-`Lcl9or+aAc6=+B z40G7u`~tP9*uLRYUOWy zEVr_3$b!F0gU;V3CgyNuyf`dqFT$lrxCdaa{{dgz(g)>*1Gy0UJbrkRf4+aYG_TS@ zB~S^^w{x>{Lq(8yS|1*KmQcs!C#asu91#A&qJ_#8_n&L0z;{;@wP$C6NADi6>kQT9 zIbT#je9q?IKbOFZdgl%{yiGuiTQ{XBPm zc8=_8J6EIY?e;hRC~BHX)88(8OavMoI#yI!JZvysrjE`Is&8-F<9~74@z$9~zxgaV zJd!Mt&HX^DRHPEZq&#SB7(Q>K;Ow^w91cgst^r`}zm{ilikLa9T7w2$WXgeQK# zU)jVaaNcr0B72vKmAVibJ*QTNKh%0(iQEySj@yF8{9W_KNZ7i?aJuUTpdv&QThccy}-RH%!}+_0PQJNhN7#14hGKc z8Od`^>gsb#g;aT{6CRRpLHL$=VZUtJvDdPf<`woYmy>Y30*H1?gpvUl`#hHgf*sUR zDj`Q8oEK>kAhpOFKb2Y=&FW!i^#H5P58fL{&%KDH4yx5|p4IDt%?)lkzK?1|{66p? z=*FMK&bJMQ8;x*Y$~h_3u`ssx?NEgOw8qow{@uXFOAT3SE=-qLrQyZn%6-Hkgtdu^ zs`{K?f=k?=`?ANSSiftl3YOl>cREwz?Hh)NpC2b7PTc8v&`Yk=wE0#R{O`c;$oilx zt&^qs!q%qnrpnym-Y6IpGg*J_&Gty&le0H%o7dGsVbS&hu?~IRbun*ZVJW{(Q3X%Z zIHtT)Sx|5L>TB7|+Z?#F2AAGr7CVw{H27<7@zoFh5Ii$W5iWMIqD=7q%HM=xvJ&Q+Qmqx z*+)v^S5f-pfP?C_IhouL4ANx|`~Q7Sn#D)10|kH&cd(R)9u_S6wU%bh=A2Q5hwwxy zj%;;XJP8X0ew~>SOTM4Gt(fs9huSGhf_Fts4ky9{H&9+A#(k;#{JW@3QkH!8OAV}! zfVyGdKtxkqR=8=IgC8I*7Bib{8pHM2& zH20N@!!G+a-twB(vtc#{lagL&*5jU(}svd9t z6VvK~NKz6An{z`<7Nu-?$%7n=S~xnj3=!&`WYDy?2kY|`J2fN^bFL# zXzgcsz&GF(0XPh)EMO`3AflIn9L7!oVyS1ynma;Q6r}-%l5iPvgdx;-S-gE2o4OnV zycnlJ+7J#nG4Q7ftZulbD?)5L=8V<9gQS;gc%o~LXb07QI8LNCL+^XloG_+woAqgT zql5joTZixUp25cLcM3@@vrxx0SFcgkz&hC*!k+v6%H2?MGpVH~2NyeUk3^Kq#Sj)(sN4 zp#Psx!OiPV*+#lMeMu5bs#o&C$*{PW4q7v|KK#8`sDmMV&iI-?=}^u!+Iv-A6X_qu zXrgD>b&1K-SD?6J>qHB-P0g>V1azOeoYvuD|=0$6jjF<8+3- zKql__SP7&wM!{j{Xg2TjqgL1>`wqEuN^lL&M%kFkvk;@CzS7I=s^&0ZhoxQk(ULPg z<;|^S%k+i!9)uwCGl7BPGdC{;>9=~vQbJYSX!1pGdi3!pb4f4O7i}gyzq;bvAWgWn z0XgU3Sj_9+AH>#$>O%$-OtB}0YbstC&aB(MTfz1ihj3jY8r~HQsOU)9J$6c1;>nG( z5%>?{mSOVsD80#t3r~^ZuNZRm0jf$3)fUZCjUW3n?_R*X<8cYr7HH|K>&H)$9pWCH zO}Hg-HcdCi?x+Zrd1Q<)*hDu}>c4BBdIR-K$-H&v)?<1e)&O-U*ZE;#3@0UjSSszM z&8)FQuP41A;=y!~2N&op@2E2gF3TAVZGM5~VRuKGA=JlydQz#w1T@ezx<$JT#(R5Ee^XYMULA^A1UCSj-%BhN-Kqsbs zk~VVBC?UxkvRHSLq==elKU#T=rW#EBcOZYg!S>Xlr?l#|M;FzyU!_#^U*Kot%ltb~ zPZN9k?0cI$reTXSV`V zy-Zr$DCANjtl7TsmED~Gw9CH(W^Z=lJ&vCJI?%GZb1GM+>^j`hsLXQn;ac`dc`fN1 zKdUzpX;wsG`GTTc1!;z&)(lJ|1M33DN6Yv5F{`wF&eer#1u9Sr3K#8J^gd-aqMYUl z5P>)VkptmZ528O}gxcpZ4u%WGEr?#+Q#}%zJX4tw-f>0|i4xyau*5iI78OjMeZj4> z#!Xi&9zm+EkiEV5iE)~duOktf_q;DpR|WIN@e)pPYyDA;zM=>xdf(cf6`?z&(+QjXKzMr%t@MP<;s zr|SvG7Y;M-u0HbH<+^5yNz>lT)+clOLf*AOFYr^28JHZpm4ADn@vU$>&jgMYYO)HM z%R|Iy)6zS=92MP);<)4sxN5lU5itsK@<-XV5yymbst80-Xn>m#>9UHIw-;?^moLfz zpP=+%K|9biDAhq)xvHxzxdHjSagx4p6Pyc>;mq6Gqb6q%^LD)GL(zz_+ zlvq%E_i+7MV5h|CUmSEWR;+X@+cHY`jF=+%i}_fEatnmZLBzkpl|x5BM_31uUpZtu z{B@~SN6`1pl-u#T7l989#2ub97nRz?FdiSGgcZM)hp6Sue^33VS6~OwwV*{o?vO^$2)kyJ!dp#) zgC}+P9S%*_aGpOglyxmCXrZB~y{QKQYW8J5Zi zS!A`?OIybSD4hR50oQ?Y>4S>Z76`UL_|@Xe2r$J_zORE3L5lSuMTJW7}B*ewnQb(q`vZsg(+KsE#w?#I$#o*ExbEZ>HDg{V-Lqc@L(`w6>Zk44{{vANM8!YD*QW#@?h1JQ)YO2?;~#yB%c`ad1Rsc*J^meE zf1-$CW^+GyMfw8Ng}CkcE#6rcmmu_WE?743>H#*%R(LAwpnDQ1{y;Sc$p^2|92aQ8 zuYQD%I3BnmU(AGBv%xJ9YOQc@Ko{pSXsp%V?3F%ATS+k^3H8S!{!Q^FERduYmAv{q zGYXxz-KdPs1TR^Y1!KM=S=tVSh9viMvxa?EKvcy2i&E%DCl9D&5an7?&5m^z0yii8 z=xSfZ^y;l4)iI~|qIUIOJ)Cc}ex4Ot;+-_#+_Z&e6)7gz?02`SoK7;ecYJu4TOXGD zgVEB+rwwcPo?Qs|C{#3X=-fEgryOJa?|}P)*!R0`H;TTAXY>avbLe#*6+@}(X7IcK z;TQ=?vC7tj2_IykiC)+ao4BPwZi|E7we=IwQ?igAWu18=QTH+3lO6*qh>g>~0?S%s z^895IBBbqk73B;EKvRNIqA^g8{oyl+`g)@#yGVtHuqrJ+~%!=G4;-+Hf z8G}40#MKq^*|qH3wu!=MFaQl-hbX;seuBHhJCCCqZeWle3x->L9(_olF1M4m1TFAY z1nD4Z(<$Qt*CP?6V2!jBjLi<{DHn+UZpL;s4IGvVw$0cyK*6gT9^XvIKpV?DcG&PD(75)9>qc& zqo)R#p66gPEya}l0mi{+_=x1aA1MW zb)kIJ?_KIR`!F_Z1!y?7V;cw|B({U6#_EZ z#?R(DEOAZphDiwg{A&>YEqEd}CPoJe%Skz@?5he5UQ9MvFB{ag(utAaesKbS_Nn!K zIaQRw)caVBbudC~Mp16bbCo*Idw0ES{>`=TYC&%(e%upvk}&)m9PC*wszN*Y%t=^w znB>G`Lg$&E!jdAbrcJOF52UKS-BG9hGBV-0u|{fxWSZdoohTXAfToiOy9mAkUVpuK&Y;~mtR@`QDbZjuTsa|A7)H~#5}gaGppoAv}7cvB)L^XO-A!1CS4BR zp#1QgQiI0Hdj$_OU4_U$7xDKML2BTd_8gOxC-~>}XWRlMJ7wwNd|CR4xlPlnP_DW4 zj@DC_nga!7dSCPlW%a9_AB2qO@5%X-!`?R(%7!`Ncyx~q6eAoyUUk8idZi*%i75HXX1*u9D+4P2nZzWe02R%&%u=0(YGR_5Anl>a;MXD5vJNu-dcjApL;M*q$StDc`Oj_9k@ zQGN{XvVvY#`lYyQiVu455bu#W&Q$Ixa$r>w?kWO8H`8)Xi_AJu3^p+IEriacNSd)0 zu*glpcEEihYN1n4xckEc)ncZpBj2N}NA^H`q_o|IZV+L&8ulSuz*hxC+hI6!lQR*n zJi2E6Wl^k0(pd^J{Pa0hjET0p2E9fmcdDn9HoJ)O{hiS2a$8Q}^z$}Ek0M`-ot$XC zmgPgJ56?L%5u=8h0ae&wtjGzcOEZjz7$>c(_wy}E+qNMnew*_*YjJG{{j%%dDZt?= zZ10ppIciLAEI9Us%sd!QQkS~zFDopN6`_mI|4eIGQ}1Eg3^`t#J$fuV-7Tcn*1clp zcPRRm-p{r-1^uS^xALy`CA>LZr!DY&fCXl0NWEurIf5GEyrQuEvIX@%OE1d&JyRyNjkXk$;f*qF0-i{ll%);oly*5ty94xozIf|G)YMz8?dAWY@ zr-yUZs&687QP=h?Hx>g>$~E(~X1C);bsPu3HrbUo>x=<`57Y4?a_p0n5r;@SQl@gY z7e8K|B{(vtBQT__3@^m_SoGH`?LBJ09a%FRI1|!zC!e(FEF5K*MSRwIy--)Go`&CO zx^60a#E{A8CSUV1-{3tK`0}lBjozZU<)X?Rf!#zKebtHC>-3`n<{Ar8Kfi^!d^Iw} z^xB8Yh~_wQGrvopJ$tt4XHezXBOP=C%HU?tIefzX4c?3Wdbv?0SMhI~lsNp$;UmUy zW@-bxzJhm7*5#ax%vHOk0xZ!rXTOe~$zcdRgIam-!+K!Q;NUX=yhBLYE%w!b4z+eo zpUOgmbp~Yy;MUmessQ^6U~Nb?;AoO!XEdX7d)O@{*~$zD2i-W<`ijBF^mRq?xV zFci^y;g^Df+XMRPACg(mEKBbh<9}#2dGN?0LNB-vt{%6yK#((A?FS?B8yy$J=?Dqs z1U3@JDMnS@f8ln(;PX#YgO8?15RYEJ`cE|r|L}`_O^Vyo>Ymo+;-3X?$h{p(o0bIw zN97lFobu2Gl-h@X8hU%*?7Lg*Fff=E*OCfo&C@3Oi11+Jjcnq4=S;~<0qZ5MzTv+o zNXH^$KZ5+U5FgX*M1gGgk~J@hun8m1sc&Hs^G2lMLDPc6a~9)9kb(*W+WjX|{fuxW zL;)b20oe#HVg%3;2v+UyY%+PjnX%Y@?ycnvc>q|e>(LP8X>!+6v}jk_bd`Tk#7Nh& zr}_|99kAKnE}A^*xuNj40PB&q6n8hwQE$Lc?HbEm5~Z|>p|S)qR&*2bPodJ8#qT;c zv#1jT!A1g!m&I@sU%D-Na^!l73m(!)acP&z-K*Xp?9p5bjy!VQyh??x1HFSuSCkF7 z?8euCg!RJjjMvO>&c)^DYgMT70+bmwtmR}fNQ}~aoy~)9o4M+VN+Q`!6t`U3V&r&mxEY?7}e1? z=>gP@U`wrOJLNHONy~6&vuWA59T7H1*7hfS?Nq8bMR5!V7WQ-Ykt}qSS;WU;$zv$E zrV+eP{i^^m@H;%qua6t0*cuYHyyEy8GKt;m|bW8U7Nd<^IQ)R_=qNB1#DF8Oju>icAwB!=a9f| zrFn=6+HAE*1^Rsf|IEmEWRDN2qZ+t{qG_WM*nY8b6pUZl6#OLn;e%NwDN~Nz+!^I9 z0W2tQWg~%hhqOtZoiLHfWo23QH+brHk^6>yH~g0+?PLy>*jP6F_f!;mEMN!W44+^0p8GAw!YHT9+tJ*U{5fjdwPHQ2u(R5wfM!Pmd$a;OPg85JoNsYCoBzgi!T zwR&+07T(perr-|edUz`~(B7;!FK#@(bUx`kY~;6HYSXZ5sd7<3E&OmF!BbywQL#71 z0?Y2q770iY{{rOx^os(Z zov9~@Qw02U^Zls*7Ep;(nG)cgmu1*?aZisdUFhNm9R=Bn;j7YKJYg(N@XDo5rcpM|d z)bo53o8_M$P%QHEc7;JnUeVj{W#Tq3camC7;Dts+S~u#_MH)I z#z0Ed#ka>{~0&Fo^`h9aY@qm+eDvhYirY7)?lGP3xFm7kW?ND zTo&Y$0+0~WHouHgVJ*#~95M;S#Ya*FS9@zdRI_p~i*_d_im0Vv>ASWTo0}S$X+O@K z)heiNCZ)pI)kGPw5ML1!xmQO!yf;vEO#%P=k(iyPApe=g^bvQ<-ABP9U_- z9p(E4&C+IlkL+LBPX8Xspf1a$PlOc3n4OK03sD98qlnv-V=k6sI5>NE!SkG&c79Q9 z-B!`;v4%1W^l_vzl&GwR@sr_a%e>G)yYDQt@!Tze7-+LbrJs$P`#O1|OgH_iTia{H zRdx)b~JF(zn6#pOuS6u})B#Ch;Wb(76a?4+A=jQ;`W=02ihf|*^ z9aQ&pG!;fbS#yRNlq&CuKDFnMr!wQb!FnZk+!e7H3SIUBU|4F2b=P+3T=JFN>3jk7 zhkVKgDlS>Hqq)I%f)}st>w$_h%qP*!`ZKPR=rk5!3VzQV( zOCS>2bVXQtq8A~R6UP7dnAP=)JXnXWa3J~S8_laTC&oJZd0`nAXL_T{!<8V`eXiUb z7)wV7t6MxV&npD>&WFk>tD4?G+9Us}VU-GiCN>vvw)PdUW`k8uF3PD2 z1aM=4TdO(u86fxxuKZaRkJi3#_>SWUh+1;wR<|U4WCJC-0(OX31PIVH+vO*R0SnVI zwjaNCj0BfpBpcHl>M~vp#OC0|c%Biw5@5MvycgS7N2-4;c`#@cWA#VeGW?nBA}V%R z3OJCCe9eAfp&JS;u%J`Go6lM>Zf|}-t@Do(WxZ_lxMW*Y@l+GbN z{St#Eek+JEK3Qdn zDKOVgH#ZhBoO~N)(NAV3TQPJF&%TcgHl-}P(X|;-zM@w2*wJ<1&sz5`Mvi}V^Apv3%?xfXR&?hj! zS4Fs^qwGeBGvx3!=8Q0ouupD(RqqEeL;q~?wY zmzd#5wX`i%+JQ-juU^*nt0s87?Od_S9Qht9bLoRG<8(K!>oF)kS)1T#`_(R3Epw>^ zN1NSaSa&1}jcy`cNn4x#Jv*zjA~vy7vTbiGOix0dM0nbZc)u5B{;DM0&e1#3riVag|u92to)=Hdv@_6X1(%eRvc zt=4!cbT(R_#2G+;0d4?=)Np%~Q#i9angdP5=t*xmHLjnozB6k;yIZ zkth_NI5C^Go+;7%5U33-`njjO*{Ks7M*vTdg>J#9#d2^q#T$#Dv!g~9tQd(SzdF1p zHhlex-_0cou}!n6yCTRG+pY#r+OkmkREJrn*n4)F(&l9$a^kxBjtnW2vWcW?#Uni? z(6fEKJ*B#0ye_5>bL6{^8+Gw3&OuoF0N6ab8o-iZwl`Xe+b*Bg?5dvZ)j*=167)@SAws0fK?B#H>Kn#ZRo7 z>5+i|?^4+Qh^uR`ZUS72|?8{*eDZm?D>D^=DI{ zi_|YWz$>UbX&JG#CO{OnpcszK8It=_A6Z70rAMpcD1PlS*@L@Aqe}e75xrV2lhWs1 zsL$~K(Sh^Uz6%CoUnzYRluYH2(TrBE0y)@zcQ0)nX$3BHa&NaR@Sg|gXn82~12xcG zC=lhvqdrVml;M%?Fufa@dYwmZYBrNy{cc}>1iEEEK-pLP)3PhKeZ*gy?fzbjNW2W< zrOe+Zrd&#=VmRqbndxA>B^<*WXUy87VTm694t($~U6yDjhr#{(x#4}FoqdpgDfNlS zl0HSBwZOZ1+W&chjj&J=i~=^Sof$(2MD{sY3eIsIL`qxAD*=M>E@T7^3MM4A&qV=1 zM4*M}Q@eZ!G+tJO&AnXl&WLmEm0v!c?(lY%9Y+d9VvsO4QJT!QU*^j4Wf?Y?rT$AG zHp}E^>krz}g-#L!Wa@&uZZ}+Z0L!q-joiHVH)4Bx-Sn|+=5{=vNxTMl07i5nLAIUg ztFbZyphV&Y34;VI#w*lPm`2uK9Jd80pFd-WMZ5J%W1s@{MDajQit=o10#y00Ku zBgq#)C)04X4=mM3lzTw01h)dUEGY4*He3crI>7qFF71HhNVxxwwVJZR4dJCP3(^wR zy5+2TN;lO)L6^QO+QrYnfp$K3O_#dt0#I%bMmLU71D~DX839>(c{w^iT1C5ZWhfvL zjJxa4bW6PXt>SSr6OMde6>piS#9y?^{t<<)rBmdUaQ{tU!pPfmg9uCKZb_`{v!YX< zCHa@Z*Vv=#g&n|~7!90Lz&>#dPzMmu4e%}+a1|(8A;EKEUtI;%WK>+6F~H5#AFnrm ze+EFyjf?Hv5bromM%Ujt=$GkL?p8gpTwSq16EV#fnc`#++AI4$hqZjMU80$IcOQHX zMoHd})v;F%un~xrcF0q_3MO=bGJ|9S%PLUA+967n!Nd-POQzs_0gy5p+<*XL!IlkB z&7k9Q1usSH{#y<{#CJdg;6n99@G@xLlTi+=EDVTIi@M$<%To;O zrpaM66*t@8C8+J~a$k}59j86}KFSu`aIq^_3?<5+MGZ6(TriQT+{?^gjx&uKWt(b9 z(V#E&aEn;%h-pS{-PiP%*g#ztpG%C>#2z2gYEO636#>3QJY3?dKkIv>U5!lO1>R5ge~}qw zqxLFG$UEM2bzm2Hu?wW|aI1HLR~VPQeR=v1tE)4D1(YaQCQR&o1bSpybQQ~F03gYf zf3x+&2rcnKyXT(oY>y}pHC`=D=f`T~lcxVRX%k<(T_adp{)|Kzy9lqxxQu*rgAiNz z{!%}KTHZ-*fTg>%Bo^2ne4Z*VY*E0aNKQI@uy0?0!FfcqJz?T)r`T2pw*S(_Pap_* zt8}Y<1>lx)D+zrt#Rs70ciJNY}bLKS4#6xO=_vWve1eTIZyI^2qQN>Gnw!00m* zbY=_3D{!D-nXq)$=3VK-0~Q5Pmhz5rR^O@Kr}7ja69Kmef-_a2M>O2}ldq{D*qYSu zFUVokc+E&46`Ak+FHvaQWiF^a0=oqVxpK+k-QFx9nv0m-Nx^j_rtK^5L zb~1RW__rSY1SpZ(2P(!G0CV6bRd49{gdSP)eIF5L#O^8qMsfknVZ)oXNvE=w)SB<& zXdZ@a_Mlr&*Y*cY>vOQATEz{*xOoK~3!oF5<6|d$-2WrxDO~K?Ifvd|k4SW({uO<< z;mV(SnbpxM{>h5q#Uo|}0>?tT?sq5RS*s-ylQaKgwCXvWK-0aJmr&LUtW@^mhF&FbalN*OZf1) zebc`y!ka?#)gJ+qJI!~oYHNFCBY>l>2lK3HKlTK^!pI*reN%T9{5#~aJ!NUy7EG}Z zZ&*X!AaZKy-+`*4bL~;P>cbs-#SEIDS%=0hG38(10WF~eY~br4J3E9O{KS6Xz=4Bo zLhMjMxHd#sUO~&!!o@XOM8{t-;EKiUMCMtF(MZm;8FmSL}IfXpq;#7AmH9KJhrgUQ_uj#SWOH41Np36;_0|F|Wh4 zX_jr%wdUW6F03Iwxt^o|=#dPB_DcVk0lkf;|-Rb zTJyqx2{op8d=T@KqMTJuS^IHM8dG#)&ynrLJu};Pz}Hdemt<=~%GxFZd82f2{(0MzvvwgwbUz!bWbJv{e4rMcQ5SVWn@ZE_C3Rb9a0F z&TRL}GPb{TXydNWb{vqnl;k1J8)^ib zm&oh_2;K|)VkDX0=5uzXQI4r?{j8!N@5x=kBt>r#?rKe1ZuJXkXPbwCWtFG@Dhfg6 zrdo$k-877`9CS5fNb!iQ~sRm%53uHo`! z7wktlml=7Sw|E0T+c=FIdGF;DvaggI7?^CIeu}d!rw4O z{^JdUH;AKKk8Me(_5>X2{9LL|i8^1U{*(ANRA1=}rSqOctkSuMTVv z=rs+Ec0AAdGev@277Ah!$AW}XbCh*?+w~drx0A=13Hj}mxZqaJlX@l(Y$1~Z_PhB# z65DW*Jcc$i+!eaGRP=6P@LR}6;4ckKU5XO0{m-V+%!Z@QmCwceHOX(!KO5FUg9ED) z^Kq{+OxKAY$MVW`pbCLLlKEGwH4HSbWeVBmDisjRh-HC;SISY{ONpqMYTd~Z+Ft{M z<36Qg>xglABk0QYe$gE%Db&D2tlGMAV$rdh!XyptR+SFgo(iVszMck(#o9Wo%`8ON z^NDVTJ9RI4OK01~Xx+`%tY#0kom|>23)Cjul~(1C;6A+-k1lHyrBxG-%sDwF@a1(< zxurCSzBgh5P1OYl@{4N@-d!9R`c0!&+nrAdNwJs=9_Y=N9ekc+YVM}{`JtwJlY+oR*)fm@Rh-L0(r zTvglRp5Vi$iVMs~it4sENyjmG1C-6}W6nji-?FE+;pE7d=XV8O5AI4ZdOz*17AgEY zuzdehaDPd^NNA{@EAD!}HB=mp)4Hg8gj)F}SOq6lBSP1;h|J5&n^w(HzTGG;;;4Sf zbW)dD9jfFfVqk3~IVv&2TJz%d*$jcGA`%JjR)bGrlSfQ^qax_ z4G-JVzJCY!Q6*I==`8BJG{{b;wGL_K=p(Di9P=-Ht_Np`W3qasOphhDp_+!q<_`?! zR}%fs+RxJy6`m!Ah-4`VzgJRb4xq1Aow`yMA5fTUR#tZTN_4KxJXSLY$;f|>(FxBs z`E8z?q)ooIeOJ7`5Wdu1U{;AFAq)*mKXnv%j{Qg+j5}9pu>61laX!7HeHgd zRd%EGD6Q>SQK+>`uE;eriD4NSB4{3B536g8zkKY4Y9o{coP3s-UMg)%m)*q+ClenpNgyhSfH#|dzID?%`sRl_LPlVgK~}{sPm^jZZ@5; zom{m!UmPTxUpW3qydh#U=sKn3W2H@&+P?#htqg_j>b!8zJg7eMk%57n+O@Hx(oDy! zeqK8t1*bysa9}Vyd35rIiH;%R8AC}teDIrz%FS{EgeHAY^I5rV_giic?Og>NwGF3c z`Yu?`bvw}KKFO&ym-=#OFv+^uzze74ze}^$Ks}rPt!tftel<6|SG%>dR8)?O`0-c| z+yC&#i=|CfXkN#B}h`;CI@N`$(GQb z$uf4=dAG17xc=|Jp+OSLMNi~}9Q8c)!uoCIjBP&2>9I6sX=Acm;L~qY8<}F}z3|*m z*Mzt8+8cFIbNM3Q~WNU zN$hrop2+G4ned^*Ug}a}p(#th3?GyX2(q$n?^%DH7kc>YRs*KX;%DU_?Zq|)FCkRQ zHKlD?*+=lqy%V8?y0ZzNik!U+-|hY?_6qt@c&_zzWZorW_)9*BhR9O$V`-sw0bSF9 zh#;@V%v$2px^3Ia7m{;KCOOddV+kr)Vzj`YShLZfu%T|L?%6EUI-lR!PrMX@d~Zy(wOstMWChXPM@& z)y%^R%~8wQc5SG>TpDL?zP{;2OFDfN6NAW|ER6G6?^nj%yr;zT!$#x`dN{G1@4`@A z!ZD$;b`$NPz`#?ziXw^UT-OtGf2bN*3wz{zT7&6j>m*@fz&=rUq%^9k%_o`v+aVB# zJvBs__n}-TuiQ~=SXWz$Mb(I0j01`*Z^n9lz-maQea-OmU@d&_<6d+Q}|67pCD z@hQpG#=t&lOj0N=_@WSaXN$sFG-m6bfgbX9qJ6w`5^dov8FeB-aaOuqU)GUFM&&5_JKP3#d-sG>wjcCmW8~O zbkB49ci@|fQ36z4=omFOM}K+5hcA!h#N1K(cR+6c?zchgy_~A%Ew=Gl!{${EDRG;a z=!=|S!(nt>7R%tfFcQXNMDZ(K_7F5Q|6?{pF;Y^_z;48q`bgIDsN!_^<_-C;d{8oH z?y>7b$W&{7_Op(I;_L4P6q7l7x)DF_ENzyHao7q;9MQAOadN@z6~0$g6W7oS?hnJS zKY|x*Qx!V%@)3mU470p}A&KEiu9ShvpkhD6nkVFt+sE1c0%W=8440#cCt{FWFF$E2 zGQ=L~Q}0O%vUbGzm6F75P+hL+%3W=!nMq~4)I+`4V+H6r#_(f3noVbhur0mph72D* zc--dnj{>-{;{Va~F7Qn6{~vg#(+!odFhskUVbR1yE~nYe-6*VRr)@52DUy?rUmds2 zW@gN-I7XtIHsw@KRE*7~ES#*%$z_U~VwK$cfBOFae~(lT9*@u7pZDkedA(lG%j^9v zl)`8@RSF}l{js&SA=VLEfhRK#IeYP0JgAqf);pOV^~G47im88=HCmhooy=^^gd@#g zx?W>yE=AqiOZnjL zrrE#L>P=`ho*+2UvP?vImT2Fm#D!Q=TBL!T5YgiBW6kJ|COU1tCL|asfU)}cU#C(S z3A<1-wxo=IX0BQ#Fjj18?oCX{f;tpeO8%)Jvkp5d^*aY_*!nPomo}`nLafHQzaW#s zIGg7{WZRa^bm<3hCy&RzbSx@UOZLj7cnX3$FdsBZ{}W{5V8^}9ztlA6Oih9YpKPe3DK?SaE?Lobk zaPj)B`e@?W=MdeKa@5o)j*9Xrsq=v zOTm+z6Lgkc0lBd^w$Yn?CqQ9__gi;97~P6t?N2kF7bg>Iy~`{Js5`k594&hYd_CB% zv})4QE?1=v2^^)VPaUvERxUzHbLvBBMOWU}FvDBxPJT+xQKDU)CyN?u5YC2SXyGks zk+KzuMgB~B(P`zPkQ2+O!0#VXV%cyjXv=zw3eaogFBoeKVb|q4I_EU7fgR(4fMq#n zGKLBntPEW?^vsMRxMkM5@OJ6$VO-)Qs*h~u&nv-N6f10TUQyO~CdT1D{k@4g9a0#Y zGq5||uSellUb$rHLgL^THy~M#((%j8xd)SS%!6H**@_D$sVw9Jqq>X9OQs@Wy<%PYH6UxGU5G)(ZqSkoD21_=cQ3$rrp@_{y@On~y znBJn~NOjX|fd>B;?4}Jr2;TkoOQWk9d>W~-a8#dfUDz#mhO87xmu?Cvx0PuWW|z}~ z>-i0yS=zsnlu*j?M-$fOgJqj7t zTOEgr398yGJOt9sVqlD7{xr)x7F~o&c8Ulp=>|+!Oo4INT(kXmQxsFuGmgE^UB`wX zO5Z*y_(Fhv+niXG3+!+;1$1lGKT5g&NYi{6!_2pC@)>PjE-+czj7^I7c?&}3Z7y5& z^y=u(gXQ<$vRyg9CE+GVgv|7lH1FTuy{*hzN0#jiB&zkyYq@d~PA^K34BuPxdhk1U zVCfEFT{&S~;KZEk^$~@u)y>vu|9n4992P#iO0og=Q9)x+7IH^%M|x3M_rgyw(Ofk> zM~Pb2*Sr0O4ZlKCtGkScn(EMy2bR|8qeZ!kCsm6(eFwuXyc6td5~rB%_G*0=pGqB( zz0lr=ZYOvrc~*?_LsMh!sKZ77@Zit~7WMA`5?#|BY9OPZMcd*Ke*Wlc(6N|iEV2(& z#zxjvV97YUishNvwAW0m%sI`2%Xz4-caxUL|AH4|KMN(`dkcb`H)pk zaLdjcmwb$ujo*T!M9|k|LF{JWF8=&aV0v;y?438TO1b5vIxj-cWK_iElr`HH+(OCW z(tVRa4I**hLrd{y6tThu(VhTOKu`llUxI2mr;95TK1aOA9YFyF8eB@-MgJboZii#eCxx=sFFDV9~rMstoB8Bc6Ppwlm7BsV?79?py=pPA(%1*Z+c1vJFozJlq8G8xZGZv1Gif`k7jbng8hb4!@Z-ZeE1s>&T65 z?s%~DxLb79k*8D`b$@@hVav`%lR&x9q&0Ig^QJ!E=)9kRZJsc}EZNlDFhQwS(cTKixGe2*fJ7abOt`Ej=c7cp0hN+LA;mRo4zngcovOI z_tYHQRH@-zOo?*Pvwa_dg-sSVk>ya&@UgH?nLHm~(vhI<%Bp!K^75MebJc$=r)u6^ z>F^4){#P&DKU}HquCtbf!P}em6S8K!b)hrX=0qJUuCyG3+I*IG!USgMc%&xz_w?e9 z)`1BQ-C|nEbO8zgR8p{kL7SwH+nDD}>f)0UxRiZ3X31a%Z-F*95+z`EnKEj{B1#lQ z(lfUAb?)!0{s~=j)HRQd9~i06qx%Xvma9HkHxNpP(7cI=X7x+{r@~}AaD*f)KiKHX zDrr1Z>s*$_uTNDj4joId?bIlUt7So=Y4pv~gZf?zmM?Tm&$O${&FYJIh}wjvSB}*| z??G-{K_V^B3AC>Dv;ejPh?9ZzLnU4X8uO2K^CV7vQDbgTD6LXff?sJyw^CnK+rJPC zL!vW(KWO9?m0&>r_9a8x>iKRDnQv?FwOx7~vQuRBJ9F%K3}ly{#7L(C$fk+OF^iW4#}1log>UW_UTF z+3ueTX^K_(vXhxY zjIZ03kwsN1>Ked`&?_b4bGep`CM#R_p551@NmhDok1c0KY-DxHc5Umj*ErOPJm55x zcKN3l7b&$p^t66Hp%%gzuf7;sA6tXP=i^(EWVfxyAW?Sx^NpG3%(5509=XgY89aCC z>|d>wChDfxgsUu_bY6Tudre3Gd-;(MZ!=FXj!{e-4K)%j1ClOA) zk~m(Vi?h-NP5Z7VP^{w5zA=(4)C*>i>Zf8+;@?l4(DWu$Lom#~zGb!(t0UHtS4Q$f z@nH^5YR;LgTDbUOnf2R0&FcF$I)qPc*{%`YPbnf62G0=JO{nsb?XoX;{ikk{XjI{H zMP1UexmM@Wwt~NqCS`~c+>3NAjMrvvZ2msSn%A7b6wD!quA}2oI-JwhK0Tty8V#8W z%GGnnUGi;puAYeQo6R}2!*pw?>+-46(FHT+VhvRi{ zLb9Pt80xSn`<{qx`%N7M3M#}h7+ns^?dQGazkQ#iG|C^)kHPNCGM#WWQQl|Kz!7sF z#2=OU)QfE7t$4m$i6Sd_PrzGi9n+X_g*D|m#98khbFr3wp?)=sG0}p4(z-<_eD0aX z=weDUsA7ijx#|8b&iD0i9m)8Fn0u)rT4z=C4BMifE=MHy`ER-gHX<_n`$>Z8Xizh5 zcK9}gPV_b?bbqW8?4z+Z&PRLOZ)(dbD9FuNR~_Lzdtu#?x|HczEb&j;s%{Oa=z$~g z4tLuKdF0MK+0jW9Oe)5Z>&1B{$Z8zf$+qIBCV*H7r@x0lb ze)E1CbNI90^Nnk|z*pxXzu4f@tjsf)U>iNxp?%Pce*9&C1$FWAa7d_w!##4~@h6^& zwvD`UwA9n7!2Gl}y-WS`@@zIBj5=6M5*>C+L<0=swYz%lsJG`%3bmn#h zaLTljnbd8M@2z;$&US7CsC7#vJ<1o)CnA)h!H&rf@Rn>fg~w0`mKT2f43C z_nC;~jGE-tPH6>{NsRRqpu~*W@8G|mIMflmYi#J6pnJDqs!Y_ky&rQrb~A|}vbUj2 zfjk`yn_;9Hc`>TCBp9o)W7Z~}@yhZY!}He-?N#9gV%RHEx;|g^I6t5Nz^j}8sk*t( zSPV!mNbU7uoxr)*J@0=0WuJ!%{Ps0S6o(8K>*$elHMPDjg@>2HQMp}s0?TJp3V@c$ zVj3d>D7wVv^&CaMjbBf;1J~q0E_*?U*Qc#?(4we(OT9akb$cm=*4k^c z5=K&xDLI&DP{LO?;o)c?%fs17GRVjF6D7lTtY-{hnkj@UTH0$nQ)3rtz7FPz6jM|y zyj3^z-pf9GX85LYQUJ2&@_F6lKABv3@qn)tZq~cSqkh5gka@y8AWn5-zxK^Z*?R6B z4_Mawj2LuMQG@aO;i2m18W*auCaAR<%E{;3Df4#x(LUA+Hhl6`efE%k6w73g>7%fm zD;PoYecXATBPrn;iAx>PUgNYmx1?@Wi&o@2p8}mQ=rLo?P@l+ruUT^SCnbigeY8>C z$3{wi8qCz{D|c4Rt=LNcqto-Ngc2)gb?=4&LSA_P$k}%{f}C!-0YSQf8n^l?f+|IO z4kCe~&xF19;x5R9Ik~Sjwtwy!v%K+7EDIZo^4C;1ZG6}b$_66BD3WWCZb+15E{Z;ie5bEQ)%rwG*3;OQ79-rcRL&bGWr<1TtswqQ zzBH!U$4QeTxS48>j7zmyx2XTQYVEZ7hGws+8JSO6VHnUp%_66XJg!a+CW?hN&SbA% z>*3*I_Ii_|*jOa%yeKD_?nC(caz!4MQWowQAKs#e zYr%(Uf#Ad`*Jz)GrF>3`t2$9xIBhb3^x7%MaoRSJX6D|z%%@>_~yoIhx#5GY#QtsbOQV&bkzLERNvRD`L2_xT!%0J}b z^DHp`4L6Nkc)<5DH~&|dic&93HG2l_iany$c&EGRB`Dav+k8I*GY{zqSI@;N%(vVK znv@?~K3{;$~BFhdGG zEe_?+|N2pbibI_FmexGFU-o0ojy%J<1{foEF~?$^#3jYVG3JiaZ1Y@&6_}<@WY)>f zMNL%sZQRR)Bo^HEA$a1ERl9O;a>zkcI0Fh)IH4{!mdc2b^P9#h z%*@ui+LhU@_88HADtRj3vCJEs1Ag~IrcAjd1nWcY1W#G3R9rkG7E4f<&H@Xxcvf8( z7Z-;#VMD1RAnujh&RsfdP6*tPEn<10T9JcuvQdz}*|^?2_s*!WFSwZcFNBb~U! zANH{9Zi%v$IowLNs6X5Poazd^Th=U-;_o+p>e^W*#WQWnaaDFWJ}D4d@Y30gXK7N- zUJp;@lS=AWH}WOQ2e`wGfyQzmCj1|ez3q^cU@Ku)-qFV$tbB&%Z^bI6L!3(Imi}H6 zLp9znrcn#SC2_n6+L!Jod7s?)zAmie0(vX93p+_$NnV&EKcuFlWP~REG>HxIG zY(0rKA6_M$$@SI5l$Ts!7|ajfldK*q%&>9NcXD(B$i$_8e`d@lY}>hyv|#<{IuVzD z;cN9%c#|$HihG&8D-UeERjUSi%jmJ5+Q(WKx@RF0A%N-v_g5TG}Kwq zz_)>oJX7dzF)WK9#|SbW-MjS7nK`FgV%$BlH+Z*CU26CF8V=Vj9Vg_N&-Zn_8Qg=fwU)YEnM4tS{`9nlfe| zo-?Xz4se{jh9{cU;zw zLkhiKgV@Y)I0`uUncOR0xjKTc*h-@4gD{`D2H0#7y6>RF)+#~-m5+<9F$=K-aVIa` z82&e8hSqhJSuwA`pC(*Mp#B9+`fJILHA!SxZa>-4ZEJKFvl=%i<>c!ZI+I?<_bNW; zp;~{RsvH4;dphjndAuGjh%p3}LQ$+;htz_r{lm4=g8v*eZb2m%gNoAh1k3zV3Hw+D z*r9n5wx`?E$}7FURcO5ee}~nVP3e6l1P07*8w(Zzq+74=bt{;;{VAYWhI*F-TwP^)+R_F@sS4Ou>ine z5qo93kdkq~t^ZLl(W@LMF;v!zVpD0IXY^EFz461URNhQ_V2_mvF3)~Uz(`=PqsQE> zzp~Cvfs-`oUE~*N>}zA(fx*TjuB{ZMX6xR$V&gR z3Kg79Yn9U(xn?!RDr^cpVxvE&xMR- zDS#Pn-@f%gS3!}iJMgjkev09ynkb8PbyN@;0GAC7RMAh1z^dV7^Rl)BP@&`Xew)(o z=A%0MgiKpx|8o{`%E7*n;85sQ?j>)ee+#Ww%D-G_*#iLFpnu_f7hjVm!;`1wLd#Pf z;SyJisLc`h{9Ro&2)ArrJA?~QJ;vwgnRVBHl)GIfw=vwnvo9EFx=piQlaF5_CK>08 ze4CVs?xo`yj`rXpj#-f!W3!5GqMuQEPxd)uERp?EAP7tGuGOme<> zNQ6GcP)#VZ<0d8EU|7QLPR_Fm4C&1#aqhpn^noszwMkg70P;uq%O7iK=XJ~g6c(;= z9+9#Z3RqpStN~FMOwKxH-dosY_D3`^a$6k0MK%AMG5Uo-c{P5-;-#o(>|S;MmGipM ziH3W-lk?LKMyj|76D0^N0?keQsIdjj}Cb|7t zcJFnWaT%Gq_m(Mbr&o<()WV*}zW4Y*>2gSNzPdVw`JY0IEId{LKl1hi%Hez<4LSFF z|H{52I>+VW=s^@GI8So|+v{>z5;AHVGd~kEuP{VH?=6FOx7^v=XZ~76Z*LU98;lr+ zV$-IRPcUb^%5Il7bg}IJ#zT4Q{~qD|Bp471-UXFel_NO<0&NRgeN*yd%|@nL#0KGi zJ!Do0$W+7A#tbMJoR&V-#uf)GvF} zs(-cauf0Ao4~WQb^hZ?}r0p^`(r@@xCj9M1>;5;oJ2iRMU@9nR(aILxsVqFGnBc9q z9XH>x47_IZLD{l#=3LedV!`P&fE5V>n21INB|{ro&5^7Q38|lq z7T2%k;(zt3b;*xX0&IGJxRmt9e6%psX{Ob!bXABFRhY*)3yejC9hCzheUCzg;odsV z!#%*^mHkxubs*iQ-vpAfao zYBF&ogIf4#<9lpoJ1YZPO(GMc}6pxwg0d&ZUHuZm$Ga?Z5MjUudhWR?60sx zSq5dwd`#~{y-<7{4-v8Y7Izv*@Yj6uV5;7`St7^IFc6d-L4C3y;`*=u72CEy!_{{B z1t@4NtPkz^_9mP8Q)B4w=Nw3-1A(BuTX|v@4?;FMdK9+I*T!Kn$od7)2#diRNs+%# zcH@3w(3`4hWR@)q!9(~R*X*J#8Z^=3i+L`sZ?$2&yeA}k>H^+d)Seoud{bi%_U3nO zS}?~;E4HbRS9hxR*6tTzHN;kj@eq@K4gE>&s>nMGXLX!1?+{m|keU=rS8BQb8Tg+? zMXS;Gx63yAlD*o1S4J!=>QVyZVsE%enqeeo*QZqnDZzVrFg~gN#~Lf*9hKK}jPLWk z)zWJCa_Mn8Y?8b~tBex;ZmfInlNjJV{Pm+;e9$y{f^8U#?wRq`yGAOy{zw%cpPC7h zkT^~efm6w@1%C}#**|8uotoz9#QaTgA$D+-$&;6iYo z80*{Wz!HOnwZV@6ff!xYMv64zt-ij_X?Cd?n=>``j0R=%@)NtNeR2wOguUUfTWE{q zG~enz(=99Pd1N_j`=SmIS>CZ1-cBJK=N%`NY`@PrQWNxVwUql&xzV-1M`uBng9=*Q zTP*69^GxtM)qPOPH2IYY9FIn@D9Vv8hfaaz)WD3}>D+t6J>T>|_}ug@Gb+Judg3R1 zn7nqGr%iuE9H_O7@Gwip&C1gs`mg5ri~!(G=FzEX|!0#g%Nu#6CO0n?eV4LhMyN+G@No ziISZ2u-JLtP>YmP%T9i_zVhs=Jo|Ut`bs=-7D;~)vauyza7W{sJ4G!VC>)i zev%a5k!P0IY5|HvUWPGTO!K`Qu9+_2XiB!FHU?S5N7bhUrtmM-#x1u(K>dnh_>^6`e0G!;`ZR9e+}Pu!d0|H>5b*oezPVgHFG^R2N!?4hcQ!gRh* z=Yj4=)Fv_At~A@CqD;!h6;wElTh9N#aZpY%PIy$sJi(fs65sUWPtFbhHEs&q#Zh<; zKKTTTNO7Poi|UAG6RIC;wx1`BlNtp{{?En9tOo?k$mNL1l#LGat$+eXcUpQO<#J1w zE^lA@ru}OK9C>;3aH1*jAqp(ux8O1fDBviZc&+!)#sv*6xOjEb93l z)T?sa)yK&MWYqTdf?=f+uf3t}s&BSSePQ<%g{P;JLQh^uqa_`cVdEnAcwP;>V~LBo z4ig-G>Ye19e9$l&FzBY;0;frpE-kg*KJEp{_cQ^9UXQQsg7g;Mi>O6vZ^%mj2rN(g z)uBzpuZJa_eLU!e>V}cDLzcpSj1aNMmcfuOB(fQ0x;Zt;%djJT50ADO{-y@LWTu>| zdnwxbPle5%V9W9x0c{(TXLqRPKj-0>Wyx8#lX-E3K;Yb8@@~(^_HwJf&hXnekLmTvGIGpOy)qB_hbTCb)MXm28pQWQ(Ou?gX>R zoVX!_kMKg3l^io~KPje@*!V9+UC2hOCEpsA!gOB4~TEH{STaTU|w`?MEBx zMabgssJ3Fj+DXP|ysAA5^4EI~Y{S!S0mOc3zSp#Vq~_iOGbQtLE<|K5Y;;&X^pk?}S_=J%O@NfQ z{l}WKWn%IDsFEJ!-~;#eqrPk?r(jugKV!R)Y*f!jJ&(f3=rti^c2fBJ;eR_D^cOGd zMV{*0H!6pyoPnh*VmpDh_{@8X)0BX(8K-E#Mv&?JzirlHJL3Y}4Gv06W`IvnU?#NP1wW1gmpbye>>PBZF%BEtrKM5%MSIX0~O4!oEVcm8DT`zjN*s zvHlX*9I~G%+^3^&xz3S{PtUTl`1MkSc|g`Me{1e8B8;}G1C$@X;2K*1BPfuF!n%7_ zFW@TxY6KY+eTnJ>1cNcZ;}uH)`nr~R%ZtA=fhf_6Y%B(D7ReKF@dgL% za_qSPZUwA{^7QK_O$V}j=7ZQyPRPaw%KuF=^?UK+_9q5o0Q$yI#Nw#sD|5`Y%i31W zaRAin{K;=D`lB6I+oMXp)P%f}oT{FU`jTM+#Cse--u!$@R8iYx*6hijxxo???X~0x zkihV;*T6u;Z663-&{bfefWk0Qq1g`Xcfg23sQ-TyB~u4CGh2f}{{B9IGQe!HG>-+m zO06qGYG+%+U4R2XMku3zn~o|(l79w5V398$U%b`|{N(3yaE7WhK^680rw8@ zxn!K^zNC*u0>=F_(q1(BRsqI?fQJtdQev_Awu(swW&^kgfPQTA0Kp0{FLU!2JS^t6 z0MiT1ucp->(;Jav+bDK-zUT*-KTixoq*91?suY<^6~ zy5zdh6Z_FLqM&=F^nox}^>+0v;0~@uP0fA7)&lsm{Q0rSTL-Mye(M|C+0O%p` zRuwvh7(y57e6;U@8U`G0rtfejN0=ua{orEvW6k>=2R^{9qBzlR6 zp1U1aUgseXLQgf~;0%axo-yGUn1qT)Wl6NU1RMO@-tP#LoFprxB5B}XY;xPXXMfiB z$txTKs;+TA;DrzyGo`hd|0mxJ89tWD7aC2<+T`avHuIU8l;ww|vvEjRFsE=}Smv;+ z?x;}lV@(N}AYxV95XQ@iAwx*qhs8M+Q)1f8u8Ud47opZpwJ^ zK7N(>#p+A0?7P{H0(NY8A@urPUS^7EQdFl#@BeC^#TQ0obk~cxTh_15o*e$L$TR-p zEeR30_O{hH#ABx1!g{$SyefL0??JOia5PQ!N z*%l*bX+y@UnI3QRrD@!kK7$Xn^D)Kfu*vKC>Itu8ta1#NpIvZyE4}QtD%I8VqtPV=Pa5Edl&E|n%o&`AO!D&6kAJ3M&gY6#q4|YwI;%Uo z)VZzKfDrgwkkdjF$}_!Qlud4^u5Pf~D2E2u(67rz^PkpS@3Btx%={J@8QmjUQs6fa zYZUJe%Gq_(JE-Knvj%1w?^WE)2|3%OnkXS44@0th&)%WVf~zDRSucUG^cMiAM6LI) z69T~IgXH>}8QBYU&rrLCB%`Coo_M*JBbPF`d+Mi>z7T(IgfXdOBQd`1aBIwshO&3CIH*Ac4%^WOc~iV z{G}=gluBJSai9g`LjTdZNI~{k!D$f{@RVTJt3Z3wE?B+P9*4?URa%6)m-uxzg0I=;&+&Jy<~?@oe?u`A*Q!h>xqB-nVgjg_sV=Z-~3 zU)teXFJ$^0KxG0cmYraKFc87?2}%_xvFd< zn312L*~=_=r~UlJX{?vorvtc7mMaVX-XZsCLG1~z;<-On)bx^<fn4v3j zuw<>Oo9$L!`0;>u;Q8~L!(znM9sQZ>*ID66VzlSAwvju4X2k;UfV%aPRV+*x9LA`L ztbOM0y34^@=QF)nuY}2;CS-xgk+t{S%3J+bqW6L`avN7`K1@J&J;jBsPyPwITX6ro z+|3_r9wCDa(R4#c#(_LB_{A7K`<9IF@Br3>zRnOadKxB%1deN^48^9R zC_Hcl-| z4ZN1&U3TtlDlB``1^x;Nhl4`5wX%`1jo*Q?2Y9nP3KtmkC_W#(DG_KLV57nA23+xz z+?R|-I!t)>qvI)B_({7L)D<35M z`tiBt^8TiUDGT5b#LIgY`X1^uM7w>!|5)?40&o&+`|E${{Pa$BP5q%o=={7YP49r0 z(tPvtopbzkjLupXaMq%XLO0f=417(gm+mSVUO?PU#+O}T^42yX+!X?*U)Qb{azo=e zWHk&0QdAh;xV8WfW5EA6h)DepFavuk$oE>&L-1+eIpVI%RPy*&+J_ScQk3Kly?6FJ z{9G0sbu%%)s^L{Obt$?Tn2A{S=Zq~mdrs3&Cuj%nC0-v#y`84Ekzl4Xx>y&*XEU%4*w+~U7Q8!6&E^mrF>$m7|A>Dwx7 z1Ybpp|FmOBm2oN>8IW$_#fmvhIv%G7&XY6*dhlrgd@SfTN$yJz1y ztzTHa``syqYhFab2dC)GmH1Wp&zHb>!Z^={A*uS;2ShlKqU-9G{o*AvPgOo0U&vU0S_m`DCB9}k56Vd~mi zzJMF|tBx%Ft1Tu(ce6UU^*aGP%qMii7jtV6xXx#&tU}+;t=;DA=;R;{Lxc-=vM zA8yCZJHpmF=8J3+>Ieb$WRKZ%;xi_q^ka5)ey0W2Y)hT(WoV!RNElK&No&%n>ntBw zKHmPoaD$i8f+0LOQh-rCb{}7TAOZ{}*v_z2R1=)}oaMvkSuR_yBX7Kpe)^2wnFVqo z->pIOboXt4sXH>p$d>WOCRft*_ytgihw>;bi#H3pIVUj?r|+sT`X&kj9iNCHbNA-M z5-wT|5fA|qrQ1I*clSii%;o%gfV_!GG!~1B%ft=m9NMWgQTm=aPEc$(29|~E{|dzl zd+(pb(Vpg?=~{daJXI621f)oB9LK%ba6p-NRu*|F2kO?;A=?!Qv7R9?q^=I47se#p*db@EH8D~n+l!QxvQ`vX^6Vh`G*#*2IUU!2eJk+sCQ8+Tunos#?aAj zA4MG&-LkE#VeH6Lz5Fu{KnXikA0(#RpaI8DNHT@q-7hg+E_b-*v`yIqWA~obcOsPz z-*>kdJj=7#fWHorW1&kD0zQ^KEBiiEDBfliE6P5D?7Trrud#uyo-W>s7h3C;S==A1etETk017 zOWynDm@CG!^_ue?6d^^gnh^3c6F)pwvt|K%4dWjJgHMfKkZ3C3Tekgu_zvmi4J0; zuMT^vCm^<;qc{~RuL$iV7beiNnWTPGP}QZZGEyg~NSToZ2bEkfjX>jhj}g3WzXh?u+G}q<0e5^&NIfP-V`IT+*_8 zfr~yvfgvw@06WxJ{kPQ5I<4nRsW@a{2_aQ_o!@AcF4oYvGWPfiltE zOnk>VdwjT9XFRIpKhmtcRP;i!go&pVZn)#J+M-M!o8o7HiAP5~OJH2w1GhhADI53M zo+LEaXDkOpr_-37_K{zF_{o9WOMk5SWzSJrHmOT#c;(|r$6jmwCp@iDnD&CgkyPkp zlgah>4+M`_ch0+X!B{W{M7$4`w=ryRLRt5;q+aN97|jUbHs(m!ws$MEiR?Gr4_tX~ z2x38RNgoaLfUXo%C-1WvJ8+DwD-^`-yfo*!!ra%vX1QUt!L zV=>-toohtjWyEkBSnGS_D^%-$xuhPuUN-zb%v@Xbc;FL#st9j_Z2W3txX%zmbMhUH zZojO*%zzFO zOI1uMSJpKUCf*OdJ`N2zQPLG76JYabU9^i{rld;Tyk|A*zBX!*49&Fb?fZNO7R@wt z^2xO|fpbg|#Ht4^ROaobPK6PZ1TcElDiOuh6Sl92EJy*1@AgFd&5x~IwmYjDh2Sna z2G3=nI1hK-+SK!PRgZ3wM4_ulgB-$r8`1AiG$bj|@q}#r++d=!BX7;^+PKye+6Q{8 zR9!H*I*(KY0=!1G0M3up)!=|F0+d^6_SMPJLV6eR*3f@Ga-acXeYW%>(#JBnG0#$4 z-wBq--w)z>l}%VCTIC&#cKW;NKuawS&l0485x6dMEtu_Dr^xtr;is5E$yM@eLb^cmv&2ZbX5oOiRj-?)U7^hhQw_zhuvtu z{vSMY*>t{j=utKS;ig~u0I^bf?hJ2bZDtlHABGD%zL;pE(i}qPW2Bs#hQ85IkDI;8 zN)}i=gQe!yQu~tm+#hQMN!D*WS-2rB*g4C|;A8w^QIGvNa=03corVO=Tee%Mu!ujT z#;StLMQ}LW?iIPje&hXZxb2XdhP33Vs6KOU$LCK~W9o+mvjxQ-3$WO{TUtIG1b(oei^`HkVN{T-~)_e_Sz9(q21dFP;as+9U*l?bLHR$&gTU7*C*-Z?*|N+^mpJ)#f)C41g!Zfm?0+RNdfGw;>VF72J#guSiH@<@1loE+ zA+U}o)o1$kuULLaFWIz~C3M*<|qD8Afs0c9^3w&$1(8 z4(NCE@h$qj;&{~+;y+i2#apQomkh|h&(8nRu&`H{bUm}yP=XbwzuFtp%W0KskYp_0 zAZP8)$tpO2Y#Q)eYJmtny~k=6e1&XPf}k=hs!1JHukB z@4(iWerDr{a}=O1>yNmRzkTf)eQH^rsh{shI+qXhmwiUDq2&d=c^4CKwd0dr1+Nob z7zy6|4uXwmh_ec?>XI%d;>5@0?6gD|xLeI1FXiY>Ud7MsVEE)(6p@UC?R!r01c0L4g8!D zbE@<}NsOrjAxFo|VzTQ(8*NH9N*dBi7aWkECy`%W+%{yupbd{dUIM3=wjlh@s@Z5_ z{=nCO1JXT59Z9W@_RdSN zSgMacFp-<6%8w7js~HI<(2`WMNg;#xZv7Bm?*jzlxRN$u<8~w87ok3bi=2s)26XWk z(Ji>LfvXwxPopsx5!VnAVwQwI_D=dH9Yi+s=CdO|exiD1vB*honeSwBegwEiva|K% z9lyls!F^tOdJsLn`5ZIetu`jTzOlNDA-ZpI@JMd=P@#&fnk_e(^GnM!=I^zJVYckZ zrTYADbT8{!pw=xsRG1{)XGIrq@ke)*Y3;dsxv>)eILV%#B_eg1`zaj8Ad(maB;AmD z?y~^F?tSPRBYcan>m~&odHPo*#-r+kgO^OLoEHs4zlz zUcFPM^9@t1!B@IsA4_Z=R>s<)l%^Vb+7=1y|1>yl^oKGTC%)RVr|mhwK^d(RF`zfX)m`~5makw3EMLlc zE;y|2k>e~gv^wQU4c$_JQQ-Rfs8!)gVTKPDucf6USwsOYfVsC%mMD8L2My%bi*628 zk{z4N(O)f8k#4X>80cYgfpX{`VB8$L@0wqOFed|)g272vfv{V#F=M-u<7NA9toCff zvW1-UK{918JKnQ`*c%rmZEG?cH`fEJ?JrkoQH}~n?3ouRl^l@`6b+;pX+Y*{pSrdKsi7cLvy%jI*B};lv1D> zp8j5xK`YbJOSb>Bv&{I#<03NQN^&A8Wy6w-0tlSSq98sX)!50XctFxw{P-~6TWe0z zGfmXA6inWoLv6#x!Q|1sN;ad8QF-lYjhne(fL2ak+p02bAW3auy7% zM*Y=3Vzo?o$g;GOm<*^H3zW^*km=s2X&yXl&<64A`fPP(@A6TG{CVrWtXe3uumly?LGl??;K$=t)U0t9#wq+Q?CQU-JfrE*7WG%ytm_G7I&`hVc@^Su0ou_dWT(M zTY_>-RLfc1Hd^QLuVic|J!^9jYE}n4judW(b@Ce`uipW~!;US&d!}KCNchp7HUBX_}CIz&tbEObMJ=S#1q~da=uJUA77iIZ&_U7AT@>Q+2}=8n9V666K=wA z6)W02G=6v$P#{s%@EALxjRF63|JwlM&=Z8Y1Q_u>f~ zTzs2>Oa(tH)=g{3JgsrENCXb#@-5RW z4aGDYE{bR!`i#P;q_~*aPtuQ5(*g-kmw-1Fv=i5?6b8IN30#svNc){Nron2Xz7^{Z z?xe-6IRKwzM#rf7sw8?iwG}i2pH~6!=t_axyJZq-b$9v4&Sa~W_4uCl8X?w0?=4JrzcWw?pkdK3FaLB#fkErCCr%9JTw zGZy4&1~aP$^FJJ?tm55$NS<`+l)%=CR#3IE$WK73>TJPml~=OaoKVr)ka~xmHAzO2 znf#&+W$QJ!YWWDxGE$LIfjq8y_(4FJT;J#u02Ce`SU+xls_G6#rrjz&g+t0BNsvF{ zzD1nxD)dR#NoK_Ifa@gX$^=ekBly-@b%4P+rpS+L>OZ{C7Mg2fi>COjZ33oGh47)B=UpK zr#_6nsz*!Vc?tlP$!B1W#lQ?SexV zl1d{Mo?zJorrW)huJb>yTZb1HCHZr^gaR0hsR(#+?$35q%LlKN%?BBTV9Cn*JGn4d zF_i+)csCKbLcGEVQXntcTN1Yekud^`SSJYz0wUTTcH|FCI}zlDVw7Mf#ss)YLwL>M zQLsz`hTd~IzP~Y9B=Awf%vvtnQiPmsOfSS1DcrM&l7QXBNApTm^w6lV=SBH?or06! zBviX;eAbMh9d4o&f=}qc#QRf<91M8s6yjTb{)X2wCPy+<@iIj+(q$t|a67rw6_Io+ zUUdHRbd~RN6*ZpKrr899=~&Vy^EzO^1m>Jod_Kn(D^UX*p%-8cR8oEE1FgAp1p28@ zt!_thSvF1V4(fns`vfwGPE_-HNU;@~hM5z!jjnnl`=s-J!LOFc~9;prdvo4Bhbj2lN1*bOI)98tvh29 z5_||U-Rtj4Bq4~35!S|9DcjxlNgHS!BHI9ih%Ct|l~Z!nsRlcw^;h^yjopc{={l8O zyQ&;N1`b~Kbr22Lm5h83Qnjm}O=A;2wvbrTng_C|mdC~fmQT4v&+%$T-D}oOaa8VG zAXO}o2?OL~)o<$n_9j}LyJEMosc4lWb8WZin+mxaO{m-fusInsh=fv5FGTWGay4*F zg5mUh7ya~=J2rnNn7{TSPhBEe>p&5scLcJc1~hQ7oEX!%l)E;tH;7_?r`BN>P){@w z`ybkVGL*yEY47HBL3eb`JA#O4yY0Nw938mP8$Hd6G9S(AK4%*SDkVxt*P%&O9&oY+ zp?2bTt}ya+1Jy{yi3!w~(t+{bl^P9yFqULq~Y#bCRNrP>XlW!ybuBi$z+@+Kr@ab zLko4yk-@r~$YklqopeXA?Q4Ok7yj-K3tnBPt)qnXE;MSM+`SZ1jDqk0>@;Jh@)KS` zPraz!EU8_9+P|qY4EO%mTmy5*ieQ9H_;osWE<|ynBJo@PP7Hg*Ikc*=^0&f}Z)mEo zn)YB@c?1QOmO3}8tr3!^+4%IXg~AP^TGDLDLm_5q*n35=8cCiEmLoOUj0v|Bjk|w- zotAvEG!0H8&A0|M0oN^YopkBBi}pgkRE8J}{Va7#sqcJT+%YpTk#b1=r%(NDH<6!r zTCZXd3poHI9-fQkur{#r6$#D4AIbYeVhUooveT$5C}=4?wUbEtH7F*~C{EcH0$ma1 z+pGvlb~0I$sR1fI?(I(bF{HQ%sTAnFB~=zkC9+rz0rgg~Bw=3g6Ei`&)NEA&$TJ+H zIkO(g2$U$um%xe)BZsh>=&`@-D#by-?1KIMkXA;8orhHN$@A65SPoZZqYJ5s>T@3< zHlBwmi#RY(BtxvPD#n-KsrsPc;HF)oj>U#;JK4>;Js$K{HSV|Daog^(Y}e0k?< zNd8B%$_8jKiOeInKcM^2`*bm1O6{6hY)XbXhxBH}fAy<2ixs?@-3g2R1C)?W4+Q{L z##oeW=j!Y0>qUt2U9B_Z{ConTi{EN%2meIuV}SY1lL(n`iXq85!1N@(+5NECNon@H z#ts&Cq@M#@JDal!;C9;>@q2~kb4ag)rpFxx!GNdO$tQOaiHtT8c3kArXlW;Z| zIxWx>n?br*VH@XUNt&4|b@@LyCJ|R;D4YL7>I22e+zW@~p(#Q3S#PMP6O_pX=Bis6 zdnZ}yz{Wi)LD79yY$uQBtKbheySi9@VqTjxnKF#!7qmsvY0swqQsR}{9OEvR5-w*m zfwdVf)pD{lI}Dp9q^o7|t0=1ywt1uTX=nj(k?`PbYh=fh$o-)}!}_c5_|JP#7({h8 zI`SM4{{d$>8TV1O_u$n}ihlw(RBQU};iS?ac2&kHhH#Y0X2*AxOkvCHSlvCvIkLKE zrU?Oj0ySNXod;7C*dndK~RRD%j69n#4^tIE|Q*4Q|fn{ci za`as)!QAp3g!q9qlo>AQ3XQ|yhf}g!94M1y5raaHRY(}}pw&#-?ZB(_U{U7)if;9@ zmK#stZ9Jr&ZNoBX0z<@_)v@rR4DL!lKed6}Fg;!n3&Hr(PD~k%@t1LyIf7X7mzk^=yJd?b8Y2OYA-EDz^@vjWn&l{F1FUG1IU3gIWE)#^CwXNGK}w4)|5$O|MogSrJZgZ zBtXz#tO=-eL}U-cfkma+za1p)cB(*C(13UpAUf&@w%Gm+*it)ozf}P~YzgI_>0bc) ziw>1yfvk%?+XjyO|J%O*6p1&Cyt5);hUy-bLO^sQ&+QQbc+*qY)@O&!Kp}Nt?kPcW zlD;|{0J(#BRS)vh>4%{6Pqrdd$`McFVR5!jU zKTWh#vY>KvF(Xb^%|H~RLSfVkaYZR7a8+DkdHP-lor6(pg?=Rhf3IpnkxE@>g znWFkIfvUUMKB6;q!$}eg&K>Zj|4^}``maWXc$c5tBGuBn5+@#dX&lS!W{4l?I>p@0 zC0_@+3(mn@PnkjuuF6*M)KFFKKxy!BV%#~0^otnk0sioZ@kmVgXSWR0bJZHj4519} zU(ut8AOp!U49l>V`Y!2^hnP6){<5dq9IINKB1)L^-Rh|<`Wcof*^5Hg==A{R=?6_E zlW#aWh^NV-A7H5R$N{YFYw(*1-8iHY^^rwUMF{n6_y>%wMzB^R^@38>8!8a_`ezmd zkaQ!)G}<56&MA-@Wh5l5*?KY?-udR(Vdp2gN->nj${7ekF=iLqJEvj?>)Rgvyh#&m zh;t8G>P;FD;~|b01dJx(HcSj039r2P8837z>7SOcHSjId%BOA!=yXkrD2?y*Y$kTS z-+d6T5S?l5np90C4n!_MoU1uGknSo>ZkNy2Ka|cigYG;F$pHM0F^ZV6zogDL$Ls(V z0)XC2eJ&P1N0=)x1yRje0~W2vzp%oYf9+UXUsXG4+=jYVCNHK{vmcp3lIwZ%BxUn( zAl!3&z%%oHXc~(McTQR{Mgluw+aGzU$?V^DMTY^mhFLl8{7FN$M~{o=sIT`k!_;(V z#g$Ht9J-475n_XDk@TF#2(}l6?HNRaJH4M?PB^nzjihN2udFNYUVLAP)o(iS@;*g9XFg6fumniv^y~qBEEkRDI1> zxPk-}J#RogZEO-c3{;LM2mLQVHd}C+t`C&KwmYLi+SY+2Lleajh@OQ!EirZum1;fV ziDV=3A8QjKPc=hmLIv|i$ag+3*_g*4L#;u$5+=0(nKTcFhNoG2NHC*&aKqZMr0x+Z za3X?LA^(F)8~?)}Gm8Kh{XbOIHvM(tL)!by%%{!V$L`(X7-qQ(Py`>Y##&~z57&=a zC_)KM1OZWXiU-Bzs!LIH#T0`oe?y^maRoc7Zwb z-Rm9Yo*^iKh(s|9k+;OyTC*Ng0vN1o zEy_YF7NG&UVHi+!jd)1?YdE^uNv0?nNcY0s)cj)>tae`Ld9~-r2U&N*T#KUfWO6e; zq}ez@oW|5ZFq0v&!;8j*KJe$YuZC1RiB4I3Dk{m4s#KccQI=@k0o%1Ho9_aIm?!it`cAvc+uNRAM;Hc>ud7s1yI zL_Gu1AmG)ZnYln`KzdM+|H11&y!8L@#@6a&>`ahA_)|QK(TJZI)QDTSCsNfzY!{=5 z0p<6*gdE20CIrbRkq>=2waA9YWM&BU9ci)2_SxvnBnix{S=rv}w`MQuXJio~)6;aC zDGOVHS%bahsgE5Tj61H>@qs1f2X?9&2Fhwx^OYUse?@f`NIo{CzPZT7CWsYj4JTSK ze#J;+&`1ez`v(44!;LzMNm_T{BBTVR&#`DOlCb0N*@NAT21PUOts@3J$>2muC;5AZ zqz4~Gv$UmhPqQrNY4BQSE9xjd}I^(YDpdY@*b0qqjYz#iz z0&Ii-@O3Nj>~FGjO8m28t$SuF8G1m2fTV8F2C=cDu4$4%b1&LD6oh!=((060go}2E z^5i18)sFF!GVxb-=2P6CM_~X08U&AiQO=$ zm;Si*288F)$zmoONO0~@FA{S*0HBJ0>i*s7o0}3K7l(+KoJBDdnISX?E}^0Mhb11w z_q8dwD3QddXuU}KKo1DUDF>_cGX#(S!&@_91G}j(|A!Z@4S5c8%dEagz2rR9+Oq8~ z-NnFFBjIp`5y?8S+gTv= z9N-pzTkRSvYc4L~TBpP~tb1@wa%)q9ECwwU8Ma_m4^>~NbC#Ire|V9!qZQqfod;4b z$!(>bL0L+V+{7bfkQ`I;>{9a{OaFS>`ps=unbe)o6UgNHS1z;^_X!zCTA^!!O>+4V z<&pBxv-B%*`Q2ppJnO*lWbZyPPT;#qmSmJ2Q6uA3td0jxAUG9wI$%;TzRK{_5pJT+ zps~X-afUOpyO@3Wx_Oj~7-h5#A>zX;Bx=CEC*@g;UjRD^Zp!FvubrC5r8RX=@j zC9I?l#MrL(DHQf*aQ@|zkuc`eM8Eyq)_yHHXlk8|0S%mAimh446(XR`c`tJNBm4v| z1Oq=afehScfg%zK2Drl1WEBv?3Mc=6j`pjXDi7PRgDjEgmFN*ar|3Z^ldrMV40#r` zi?ApPod4INWHTX+460HkGLxhJ-(3rw2&2-Tle9;%iq4#YkGrpg7F@yf)x!C=jPdGg z3aBUo=6B&tE|z_+CEqc2GP{>gGhFD_CPD=ssGozl=+i#ncnTSw3k@>v+oZ0UfdLzF z=Mb(M4gfi2R2a&^c+P4!%9=}xDT8}~F-9v9_sD8(5(Eony8?R2K`9NLr9t*{u8eE) zqm8E#Zk~Osp0c^UFJNA@qV2Ic<&|=U@{u>hlr$nS zY*zjolCK1SD>SSK?G9|bi&B<)PQ5t-`nhu%QCHB)HOXX22zWw6Sp4m74j_X8oFO-- z?zuDn;?a?ho&h{`UTA!D246Lzw_2e`PmxPAsx*+ypXC8E>a`l%NN)a-duR=LLU}+u z2%HA}={d`1t;9fj&n?H5=V_BtBhBiL_La6+2xHRY9+Z|7w?wtUO(N1JB%D?uZq4Mk zVl}(Qi%TY)&E+P5tN=$y+Y-f>-smiv?vIm-^BzxNKy-R)LR=LQ46|2RZZkqsH2yg(ve_!en4$oPu z_LQVycW{VQ#U=iS_aRF$RGL4XL0}r#|0(Y&_-Z3)`6w!a;Ehr=V({2|&O{`sKv1cf z44i=l$iQ$He^%`&lL!>>ne`K_(nBI(`&@JJ@l@+8^nl^IGmSh?0c&Mw{0OwzqN0_l zi42VZmknn9Ojj7bC8+7M282ugrXunFv_j8pw3Udc?0^vsqBE_UQC!t`Gud0FEGE#9 zN;mEw3^&GFl-!sC^tdSE^CuvE*pF!#Hz*>a6-9SJ!WidzfCly{3VEhY6hn<=6y`LVM7NgmQj^I}PAJ$C@?3%f~ z0_;Rmn%}42?;?6OHo9Yg*6XNhxD69QrOrK}T5RUf&Kpve7;*lpbm&1{H`sfDIN-G%{YV||_ZR)>a?nUi~NB=?-FtiTbs=(&Z1BPVlR!8-Rs8%Br z+=r4{DhGCUh&ax`M;u^!xr%$-dC&@@v~K6I$KcirJx`m z2pv4Px;_Pd=U>f2wFW=CNca!0{h#ko5b#i`KE}I)e;1#CfbbshLwNU}i|Q^u`O|w8 zf{!WLUO@<0pTBXV0tvm8|DJA>E z+}#UiIn3OZbK6?Rb(z@okMTABr9Z24K(e=K;5D_?ZVgTcp!1LRGDL6ZbavmLdtJQu zIWaionXkW|e0%ZN>b@1j;+*GgyVb?GPWE&MrY+Jr@wU_DH|aXlb7g? zC-)8EhrBbFXbpN6|HK5BKa60tjnuy1(%(DN5%_mPzysWB424QhhkEOI23*MPgK3-A zGRkEnz!L$6s_9d)9Hx^u?@=-qG8#U$d)q)P+v2S%{!YV)p`P$%InBpN^+ExQEs|^! zh+8csVrcWPKWDI^;E}aM&%}2Tcb!mT;(F(?q#e02SJApyd2m{+kkOycl!yP}X=SO_ zl$Ku_s+l#+KLlOLX0fy_Y~P&eBt(CkXX>vO&dM$H7~*Bwois+DRfP(tnm~e+vikTp zCKiSj=0?$eN22AvCB@Y|2Jg@$zV*Ci8z(gS^W{Agk7jf<72pwO3EKm4haGfZ)Igh+a=zIsD8f3W#jL_S z%!&h1t19*>2#MuN%XF$#d*#zkYU&WxaY-Y3pN5 zoyQ|0%K0ncNlAu2-x-S4|Bj#%}JJiKZiyKRH(AyQI%2dC_Z z56m|ROG4z&wi5{o2lQJ$B;5`MrlZ@2xBq(LCH%EKGP-=`O&q(~vPks(Oa6VA-O1Be zLvLIevh&qKLps@whU(+h%>&_SWs1=@FBzqW_0&vdx1wCp^D7*Tjk|xa#x0DUM;L{! z_pCk_X+!n~y-Ujr9X)PYs=Z$VABdEBY74}r+m)lmjKXS#Okjv0wTeJHv`R+=Bt-z z(Y$Q-Cz1;)SJ@cCM;vV@>@=^=>-WD(e&Cttn`nW--&g}zb$_foW8yAC?y^I!LSh2FGm(cSkP5^>0D^AvnrsU zSlIN+Ry=f)NLqRg^s$kgnjywlYf{U*{?X5=jg6JN#UCt&uy4iri#FDM75di55p-}C zjf*H_65oR5O8$`z^LXDvq5E(qr000^PxMw8UO9~$3c<_fZeYtSA4?zV${%Mu-e64= zXOU0SZ`9xrUeS%7x&os!IAkdeZsVIuxDAc>5Pfzfiqo^3QXh4BEF2j87n0kaR-7)# zDktqb-h_|HgcuyjWJiU7*Zkk+`v>}RSG@A{flNvn3@v~A=rPtPourBvI)uNF40kv2|^W1Ys zey8FCJY4Wo6K4sJ!#f?37S__y!Ay-2HXCsg^}}++RJGvohRrPAX(B4$%7uJ==g&>i zhdo|RPLv{bDAe~3iLWr6@~0wkB8_ZIxc&K=M%6del6 zNXQs1^eSizdMBv}gX4 zI&q$dE8?|3m|vkM{cxs>dd1A@jI-uY;jyWxWO^>}J8I`z z?JvsMVIzA}UU*ZC@60me9)YO5+7H_#fyi`Omf}<}B_C**J2-Vmb$GNNujg%6{X0!i zZe$U$0PBKdHLDB*wn}P?t%y_vtyALZWb^wx&x{f9n*K}o zTNIV-F;V#nif_?ju@Ux5*B5Fy!eIsf&d*D&sD9;uDHs}8x%X>4MlfUB09CCIPpfgG z#z#d>3r2sxU~Biex7kfuH3SmV!&+)Y_%W-yd1%&B(I;4cjphdai zLGk53e(+W^Ja0aH%3dfPx>CShkNf&wK&1>}-i*RXq^Hl90{+aa7vB_jGIb+tbsb~|63D*%AfB*wALJwUf6tu6 zGvoB?OpgqMjAN*jSm()~hW^FEGkR{tDU2*D6li|FyS#$db3UgFRLw)m2{QC&%I<|LxQLL7@ z@Rq%h=9B2xuO3|RdJcA1ia%N0zgd6f5j>h{G+#0md9doLh;0hx^!C%~nKk!#Ibm2n zv?wyKOv>eQyu6WndL%w|SZj*DV^}6eTc0%5#Ae87Ft7jhm!O5Axgg#hGRHGc zrCh7-wZkD|PmGnMdLssYD=|umJazc|fzomcK4kaSkn-i&h6=t!nJnX0HqLe6`H@kY zs^xHGR~WwXVfj?O(%~DZG|E|6k~~Df&Z8A?8MY~is}`J}Bnx#fW`dP->G$zde~zW* z4J&-C(x>6vHHRX&bg1c~q3Wx+|M1R+X?l~g zA}Z3lRXyCH+GRMS6U`{SBs5DAr)a(qWr>oyz%^LjWVj>QWPV06#Y-o%dRSJptVlpi zR^90Lt^IzvsomFm8?8o$$xB-h3hEBWUz2VU@1J=OT$c0jtWn$7MeIGCaWYejgus)z zV8M3OR-Qe}b9%m@N5lNC`|BOA^W=_`EGN45+W1@o`zcu%Y!j@{ga(j;?b*xU6x69r zAuc%cBx8e@eEuVu3o;gRb&Ef$49W-mlS{1Prq|Sxb!C!RquPdD)ta9q8L2Vt>tn8- zusYxQHa<+0;B{&+a~G9D$^Ug4QGr5smQjstDfbn85p<;aqgxW%sk|u8P--M*f@^V; zEdExk&G}VEMJOsV)MGPvq9o16P&wvzSIY#~MDBNA>a|H!F{8`6YO4CsZ+)y~E!0?4 zJ_971U@+tfUZo;4eqZv{kby>1jC%4f2A2BgOiQL#>()T?w!+}GlUr>5=g40!p|kn2 z+^?te6HPnWT)%9rB)z-z<^f z=p3zX8;SXs00ib}me8ehHoZ4*Am(`CX((Vh$|~LH^yz+ zC+LB*&HdyE%)nGEmhwBPd!7fOc)x@#d(z9$x(!eNZo8N#kM2Q84Hf*NY`0qamyHb! zE*$y&CUND%0V-BIQe^?QI_cvb$0{NxpahrVkf4EbMOmA~BO3FoX+Cbr7FuGVqC<{7 zNefgg{ryhX;YymMp1bH3XjmDI>JD?!%nwO|#1Bg3TIOLDUGr#7X*QCsA0D9|eEy4D zFQ5GxrlG5Di)ZQmp1AeBJpR)KAY*=|Isj02|}fA{D8t z>w8#bd1P!g>{7iI^t(%O_V#^}u{C~doaJ8PU-S8W9E+K_wzx|M-}sN|9?W2daMQgf z>}0Jemiv?mN6A>&yAnByl{1(ZG^oUIA4V+8@NzllqD4CW-1NGxmE*fJ^{rLdM@(9E zgFAfsXbk?>&GOQRd^~;}erg6E_(pIW8Ilt;Y@|ZVlara~`u5>weLONn^lm+exNYB_ zn45AGOt&6ksHF6&OqWRP7VbF(mNxGd(MY>3t{t*j|9uZ$6zP@$+1+upsx{u2XTXab0M2+JMk3!<Or z?AbV0oLc}|v{NT5>GAY*EkY(?YWrWQea%>Zak>Bw}_oxIiR82Ebdbgy$J#ru0_%ngnT25~;QsZ39OfodKRG($t3wo2kuf)PMAx218Lv_J$ z{XH3Ibc;Oh*B46hEbl(Z5RAvBnPu>Hb%r>z4I^%;zY;-UWNb|l=xA^IC9EHU?NyqyH0iShBDt)NVWKZ6Y%cYFF~tN!kr z0b%*(M_Rz_4ewK7Laj=`1&7=3e9D|M70_bI+BfL);}hQU_-{8Huyh9B+P9#00nXg@ zl?`9o;=FUjADwUU`I+C0gBAjxU&YBL&Z~7uKZ6u8>mSm6*C>oS6w5WduEr3SL(CI5-mHZ32Yc(@=tpk3 z6!p#TzeHa?_pNxpvX6rxKNdxrN4_*78sZsBeN_IWsjp|ur&{$I)l_t-K zhLo}>*e|cbJ94O4&&;P-9v5kS$q;{6z|4alHLd**@1gP|;z7&|PJ@Opy6b0Rpw2)` zE;Ao`KVNZP_m`O5r#)I0CEa@(%FVo@20u&0$4C3$GD|CoHZ7?5O%C0}qSR?df0MGQ5d zvXTvs@lL_Y)l@ovuqpO1oHvoW_X}$yUM?llRFDaQVSj#2QiWn@pA363o6bkAcqO#ESC`iMc%A~LIYMhnYO$zkt7r*vv5oa+W32V1+$A;yv*3jFR zIgP>V*XGHGLj<|zg^Jh8yfm5XA^JIiokg;0slC#tb>Rrh)SM{?bKETut*dIaX z2a2v?f4CY|wG7I`9A=aA>oych|!t_qc~B(kY}Kt`MJ%^laXw9f&I zwJ^WmHn*G^5+9H|BSY;FjG1YY@p{Cmy*P(B3Ev#$auu3jyxy0&T5wvsW4Vw*BqGQn zE$UO7=jNdx^AM`cZ6mi!oSCV@v0YF~d{ z3S|z7f%ik4c5vYvHW1L+}*h-;Eyw?=Exxv5MFW*L?K>BLbhk?e!(-)Il6*Bt!aiif6m zvsv!nZ}!+Va3hCyO}aTAjeHOxYTU)zVu!2ttegouelrpPj6zs}^-~cKb1Dz9moWS& z59VGa*6IXQK(3BbQk~^i?XkHE7y6v}Db&?O<&&vvE#R3~G@MRTc=&Z-uY4m0MA(_2 zC;BRW+ViiA0*=eF=**8Y$~#ut~T9nZNLh)TkB9>)n0&7;UT_JsC6HI{9a%u2L#ceynSZx?bT z;D5HgPpt7$MSwhcTYrQA1}Ce z2BUC55{+E-A?xqkT;7~6gk_|K|AH*CUs@GxvM1l<6>cmJnzr&&qnYyQglfBPgUQi^Qfk2i;UEM(i9ZO z4~SvaX8I-bc!MRGBF@BNnkdA}&}3$O3*xtM-6=rAzgh3E*IL6C=@r`XBEO61j%WXs z{HrHxaug-5PI235y9Ds)XuD0q0j%V5W0`A90Uo}&=fkm#uET&Fmpp3MjcPHo>?5e< z(SD0D1v+P}B-q9~2D0)fT5^k9ln9!aDyG@VXH@dkOVg#GmEhX%Iz$W@9Uxk1nw@G7 zYWC)L&&~_*&y@yl_3r+iJiSRaw#JCufawhZ9YUO3L`@FP3(9VHg?{zLKg&i5%JDcR zx@njL_QD*KOvH9AJfzZ3(?`#x!s+K2d2l_arOz5fmNfK@WL@dn3~#lqy>X!}I8nO{J(^3Gdj@;?nw zHdhkSz&NdJq7IOlEZXiOb^EILU?z;AS8{LQ(t>4}?&Ef$3gtq3|BHC>i!Y20N>pj2 zh)4+{vmMHu55;wT-(#CF37FqL_f|vu3Kb8%)13w}e|{V(MpG`H8lZm#l+0IV8>Xw= z6h-EPggQ!A7kAx zKUUm*`7Gl04Z`__{0heqG^6m7l7;M68KW0&5)#+YaCEmAqsFrG;@y};xMr{~L_&dt zUK2e>jBX1V<#0CX{|}G9#+bd(8WoJsF<(r~AhTIt-Tdyhg%Z`i?)G*f5GYcQWY-w* z6Co~#khOqhtgR4E`WZz+DA=7ckxgl;#PD||{NBX+cskh6PC3WcPpg}M5tv2VWTrxzsBO@TITk>!JY&-@|~Z#(9aQiy{95>DsTAc5vUN@NVwUH7K~ zKhwCbnPy{{b(sX4ulBi1edEE1B^779(EgB1(S7d-<2!bnfqK;`ST)j}R=uI5OARu^ zWC(R!HEO$}10L=HqTx3DM?cBX$eH^vk5v3< zss`xRAI5`*zX~;sNwv$9V*ZNx!wj`@RDEY&5bLU!G^*e=CXRt=vz_eF&L5Vuu4>2A z<4Ua_E29K?1tsqnn^qEyQEU$^w$^+aB0ZHVXdfmh+ifs>PMeJ*1%v@wNk%i?PhN!F z_rjTSArH55(;49QdAT?GZ#qA!HR01odMuoSnh?*3dS7lJZ8PFBIGG#s3YoEvwG3xY zkumu$sPmCl^}O8@=2NRw2kL-W%FkRtvoF8*vF!BeRgNj%t~zPAc);y@h(1Yhgp{XC zuMCTUw&&=0I{)H*a;#r7X}+mhfi>OH6UI+eyfT_DB}Kw9p^ZwWx`l*)?}0u$zKnC{ zX^_nC4;}8AY8oUDe&c~-Qfgle(QW-^M@yVEQ0DdO^BRhU+tf}!H${|bRxCSQSitFc z^?p2G2dyJeVMO+&>+*6@*M4d{&8r}&F>;$@Z6y1q3Pw}?BWh4 zyYRc|FY6G|eS2@KLUh1BS=<(0DXl&x&E z=+8ehz>qFKtUgEY&Acq-TR}|bkA`1k_0OL~Ouj02rJ}N2k8y8&#G)}vcV_E6Uis4^ zK5`QFn#*&mX51(z%CjsSFp&PKjyzGe-&lMxOCK#%it?6~>zWWe@TYX#Ui6DKL?j(# zmbr?wOkSKbl;`91ef^z@$M96nvG4ufY?Nk);#5}2J1nuKlzEY~G@%oW`WT?C7_bxR zpz9xgm!dAuv9)Et;c9U9_azSH>O*q?zbvzNYw4k&k0P@#5@+rmq-)`oUucbywNnGt z3zM)v*t@dkWvKz!D4DWk72H0$F47{lmhjUER;_{!;7}xH%B+SGR%G{(!8s$CN`sOe@W;0D84?}3G?zAz2aSQ(@7YpGpyhI zqT<%9$h^LYMh~C8Iya&fbBy{PF&tj*aKt<3Qk=eRk=Xg;>{3*pnmFxe4t*Z!;&+Pf zR&tS+Br5kezZ!^s`@Eb)wjJP-eifHfi!MV}3p-jW`*XMtnOZfT?;WIbH#?H;6LSn* zZjuvs?fNOjO6IH5h%U{om6`$K%s8k~jE4wDq_EYHDS9xezoVUg~yYVyETUzZz~)$+YsGfa44y^+{gs7DnOlPz_LkJ(Ny8Q zw>#nVQ9t-vDNryxUj2l3&g|Y>k|BG&md4a&*4P!7ykQ|(*sCEH7_64N5aaGxe7DwObR>!JR43j-lK>_2O( zQ{W!HbrD7n=0swPxzeAtztL89*fJEqBRRA*j}cD~;JP2N2%C~g6w$H^c&|(O%g6RD zrc-hSa~fv)>#qA<5nb;QK2l4DjRRr=@P=5mU=c}E+l#dKJCeXoW*s<);Unn{NeR#U zz`dM*n+yuREL0i%bG$z~E3y=}Ug*_bJ~ah-^F;{p+r<{|c+3(=&}!;P<4EQCF|^lQLhnKP)Z|L8gaRBHJl)=muz(T{zBIhJWIl3jT*>pi|Z zHD($m{skHQOO8kH{mTreB9+qZp>)^XdO`@f6rD+ZQu+r+jWb>kKRJW)5AMG6-*iXXCkOQ;RVVvDTSy1NlHr!jz2APO~ zo-wDAB%uk99CXR-^xeFj>-Ey)oz@Ty+>5Hv)34IZ%6)TBrOE z*hcr&t&bm;%seceBKv)Tb95g$RO>bcc0Q4Yl+EcA6L>p z-<1r#EZ(>qopkv)=&FeqM{?bmD(U`!<|BR_Nh7yp<=jYrs>?K4c<_@ZIwx5~FTYUZ z<iBS`FI?1o@Ae1`AWP})MzMbsnMhvk*@j08bq5N?xDC68jPWJC zM(d`1#ue$CtO*g7izS+$TEOv~xKMw!Skx1tG3AFRrq^FGZ@jnbwdxLP4xLKEx`gEP zB3T_bUU)vh@V*>B_qs8%hk5jGtQw-Q76YGbWAF2@M0$HDvz>oQ;#LT1ZzJcqWC&Ua znMYi^&}i=z4rkM5JSV}@s7}MTe7`YHKD={Y{z<0P8)AltG~K1Bzvd7p4;o~&ku*OG z#4N00e%~9bDeYdm=Yy!3!7a%Vx9p>ATw(j+%L5pRn`DGUO%R%LVWdL3m{islad&D6 zxI6aoPAKT}Y3eKvByeu-$wv~^wd$kIiBWnuY;_yF;jQW7Nb*voi7w`6Z{sM_r(*5) zC0jpG9Q?xxQKIDc1jhF_7CWWX#|n?2U90L;Ln7xp)zXSHZv17y{RwI;2bJQ>_$Z0` zy7=}k=8^vD%0R)p!+^XwwR1kbKu9G}l)mmqe_*~iG1l4PN!LOmQKL{yzTdU74L0Zg z8`mgOrUlQji-&a)&9)$j($2nDjlshjk*D)&39mS*hf^Z?pKY~FMBAQ2Mk72*E)tl( z3y?E>w6Nt$eZKZ$x*sb#{v5`+nqJXI^Zh3}SMe8b%h|D!5uYI%b1hqR*TdPV^nOm$ z;u|)f$15z3zB?6ia24VZAiOiJWx^jFnQF!*46*vF(;>MkWBW0{a=-rNqC24V?OV|2 z94wWKCK3wWu)p;blT%ahiJX4Pi)_IT-9pVXj{)m1AA&trl7sK`_YX(j zeJSPvb9Rx^EfqPx?e(jocX_ouo}({U{hW>4{8DA2TzpUE9P%h(mM(y^#rWaOP=v|{ z^QZJxq$Q(Tg#CFon5M*=DFppePL@ARmzh^#@XS!{TG^FC0To%UPC06=vDjv+ktl z_CHb-?Dg%mSxr^L5JFb&BJL zwypPVtRTa!KIxQirZH2fjY@ij+i(SmA&s>xb(?L6ldhYWnN@JX_YeL}{JO4M$odc7 z`n_c&jaXa$Vl4sqCv7J%e@qQEWJuNDohMK8r7?vacPhTIm|D+hiu=+k62o923K~uw?#SK^e%8v0(8Lk3ae9 z{gZwlB^-FvlRCzqa>fktILX7Ops(R0WjkyJQS zpzxSJe1q4>zWi_=jqz9h{>rtXc6b8B-Fek(@Afh(*4x&7ljZ>NHVt#1GR(g)M@%bV ztu|Ca(M(CjU#n_AX1HA_KWK&USRc4-!>8F5JAzJla6TvYB$aQbGS%&o`IK_w#EGFa zFI(UE@RW8sEymQHp?JMZ_@e3Hb2lR~6uCbbN5B-v)VwkI18ROod_lUJmHGVbJ%4S! z=g`q9he+mOVKV9kP>_;-rQos^2IFI$l_=C2CW9^ubrYc)8Q0e?h)!$ zF%q`D0&{Tex}$MV%hSm^TwWW0KO*E=gli*bEUD7VB}r8;TI?Kty!@zYa7mns6`7yTmF6h zJUx6)Um>q@lvY>qY>C9o0Ut=zqTD?pXDVv5%ONMvZB^BlyEN`g+4zIQFy^wbH+CJu z{M?ifZ&>HR)0ovqgTdgl%HDOcU)1(!k0#jw<5Sf$3?GrEwRI~*TiRI1J;k*brMl5Q z{g;~$hOGu+#OrE|vOE`-+V!uRqm7N8;R`cW5{@_5yMUkUfnCvR+ZaLmRH&S z8>#T!rz4K;k7Q!iN7%rQH#VRbSvE*;&yYFUL#bP>%ib4*FkWtO@i8hgn;>o(UYsbqJZ~ zd+niBI+IhiyUOLLtrIaAU+Bn;m}fZ86`aw{KNF7kaTe&}u_q5qh4!NUAusgd7XJVe zl592^?u>A=m6M^Fxn9FZ4YdFP=1>}*dv!tDQz zaS>hMA`Zq(%~;@5J5vt&J%DEcQphy(QMzdXbK6{lRPEbxx0OJ$KKm#`k3b{-+kHZIbB>PT&yTB0y`cS-r4a)fhYjBNZ7*=wq+wO;`{HL{>J z*_0r`^olC6hQ5dyDjKTjtK?0U16t5I0pZyEw!bN1w%c^>XsW3EKy$h`iBk`Rubax^ z#JP?9Ea6pkN%K9@Smu`NMZ0rLB(4iNyWfJhQQ|P&oXJD&nB6nA4i|AFPMTCzL4g=y6lJ-7>Fi^89CJ2_*rro)@V>6* zr^G)R<~{cf8UlbaK11bX_=GVGOB`!%A{5x%BWs0u&83*PZw8uI&$(3Cev!oP>-#o) zy@Q2&ET)Z+(E6>(#7H2JRkSn+0=FK{=Q{p{Ivg-$Ky(PnA*-EodaUwRip*32Rb>FY z`7DsLAXSy+-+hc@i6Mf4SEBRSSo|?`%{(qa#~Ahpijka!>@i|Pq-Dt^5sbq&YWxmN zhbvga$OSK*7KillTPqFPQ*jLVfUd&FMD5f0lMg2F&oNq7g<{k8ak&%9M5YV zs1DUTGwGFZI;u#q_)YF1_G9ay#@tyI}sT8hgs(Q%F^ zoZD)%O~mS1iug7)qP8b0fR*}WD_EKb)}g_{*W+nV?)@6B~ZWkAPQ(F|0?6Zm;J z`E^Z?e^1J)O;cwA&;I~5b9GMkgJ$q*5soog7;F~r7lx7-kEP;;46eE5=dz0BW|Bsa zy2+e+=&KvSubOrZ;;(~=l}_yJT#my`!_C~&pvJkLtWOo8>}ui2{$p~O&)q4YIA4SIw|6D1)2GB zS;sY|!slqW&ei-~(~iaBS;Pez6Xy-4XJ!flSACdz>?B zb=O6g5irxARObUzTF@aD7X$?bGZ3qc#TtGPsK`s+e5$X8c>e&U9L+y)p3v1E?lRIF zM|q$a8ddb^RaW3heJ#gSaxgSY-uD2;g#Q5IA+cr@u8s4CrUd@JHtch8RVGC*D(G2lm%VYT5Qn~ zO;ZLnj4YFbj8=z|!{Z~}&pz`f1BPNcE%1tX=WD(Y-b;VKkU6H}g=LAAa?HlLjOxFb zozGT_De4w%EY5e8XoKZ#4__p4IryIHx{54cXib%kXrRmGsxY)^$XAIva2gEWi2)*TK1>v+8`7d;<$S%C0vW;y(yBS7m(4 z(_?1k%`#YKZ?ia5k{7e#*w={73x_hZn6m8QTCH~Gb(*QPwk!maLh(nMSvqWXJ9fts zcWiC{090Fo!HoI`%fw2~TjaQc;LvQ0Q*>sN^k)y&B*3M9C|i>$c@o4YyesGZl7)=Ce7{Mlts;pSek3s{{Xf@+bG}Y!>^gy?ATpJ%FT(yRFn?s zq>g0)+^mlQaPfbI7GdIb5A1}KVlvZCXkhTsz|%vTQrft!a@Pi+aul@-s>Zqk=+#>z(<-dfMxPjm4T#i4>dfq<68zn!VfguG}1)i{wUpD6=s^g zmQ;!+=JL5sbnv~cIuf!r7tT-EB3|v=N%&fTuEOKURXTTlf8?v)qKgx~5;i~yG|@fS zNSVHb8u_L`L2xh1S{tH+AB1Sm=H_!xRM+D&Pkj3!U)mb)EVA>^a6iq#8nz~gwH_YcXx(=AhE(=8Un!UhQi{Mr_`T`D{6-TdLwFD}|q` z({B^NRA(9TRAVv@v|hz7BZr*=_)!lP2*mtDqiL-uoea03vFfPS6~uC{d7`PKR#pW@ zM(0<_4D;nbfugQK&FpRLr%z~Omg^PSO^(#zvIYmnEBV68$~(~(;tuYo>NG?##oZ3t ze72iw-PgnA;Mcvca^2sAujVIf4@%ur4+)UW+Kt(OzpO)O9Gx@3Jzx?;fQM%PP*bq78=*$h|c{;%g-| z%uo!CqMlo7=K!0Bh~~|&q80EY?76-mEQ}LqU6JX&%YcrPTu22jjwclS&v)#c{8lG& z#*fzNu~XVieL{;E$XOob{XD{NZDIJMmACRcE#1{Ihf3W~4jzvf%s4lTL2C0c8F<|e11K<@LO&2_>69Vo7}Tu!3kc5~#t+(nJAHC#i<@?0$2rokgc2L-3HqLb{ox7%kH9D_g#6;tWj+tHly8zJ^lf}_{nCLpG`v)5(ca5xR@JaD7)4fvl zNa_lz39N3E*?m)RkHCl=%NMk2iyF~gGuKr<9}xGr!)CA^NWJjH&ZY8QSnyV!t21HG z47X`ih6;I*tB90}@?QmgN}wAkxa0%Wsxan0OWntidLZmfW1?QGaipz5jBYApD&{0$2$K2nQ`LWKk8Xv#ml9xjM#%$ zyH%PysNk*J{%dx5>9)%M03}ZLn|1Lk;NQX3T~`r^Yfs_ke#o;0hacjT{emr@7W}8_ zZwOXeEf%Vggk9t>l8w#I^O}eL>N#-s_KP1P6dj)U$>QO6`YqIuPUh<3`6%D8 zqRM96k;^k`KV>wyKm0;mbz~-&@~BvBOP%j&chlkvhOR3n9x8p85#v7+s@*a6k5a1U z=RcWP4Ymt2+yeNspCy+J%6bd0eENTgmG2~TkL>O#We zu@P_=aOTjb_LeQeE*sOTEoZ`_o-@SLS}1!;55t6HEU!@bq$6?XxntBQGkR|4KkC^{hUbTvYs z7KK#z>GE3l_3mH0r^sDqBm_fc6F=~?{m~42ARm)o)n?3HT}jz}mTC-+H@KdGHQ1aX ziYoDEbu7K8$n#AY4T7XmG;A`>OqwT29E_*9?F+sh`(=Jl(flDW5;$#@0+|_;B@3$l<_8R?=_f;pMs-&L~*Sl9b zij8(-V*2H1BT74AVkB^&-d^wXHHX)AAX+f&MFfx>3{{T+{yDQaHa#U<|^FW%C zN^2@^7G}3HaqWghAdFd#8n0(}WJb)KjAF|y7(#jq(uw$aBaA@nWy@6J^OlJ2>htKS z6nR>!Jhk!&;$?Q9NJj|%BFXW!bf1W7uSZRdFmVZ? z&{cbwq<`$G1{s2*S6+&yK;I^;?=>f7c_G97rv2@F0%@WwF&Q$8kZiw{WKo08grD6J zJ|am!>awT$tE{hY!=kbcfv=FA8rz{H(YFrY{lib$X2#0--OZxS{{VITo(edC=q^<> zPLbz@x~DS>N#q=YEW$*{8`KZ`s^hVbkQ4PdqZ}tIdi|I6%!BZrN}JZJU(>xd5I^2V zIDgT5J~f-@v^lj1JF`QBNa7T*DY#f3*0sJ@Rh89qwIv!VCdl&Q*7B<08A1;ug$C@a zmT#e&DaD!ti9n&LUv3quvoi!%*cbUQ4yRbip(qQl~`PHR}&7SmD_J0}5z z+{awcaTjts*BfY=oK=<3sGN6EwSttb0>rxpYQpTzaO=$n4t?vDz;-l?{uNf3+i>6AFc}=-Be;P&t@VJ# zH!1Qf+F&`Nd$SXo)J?=gmo{H`6R{Xf2{Xtzl$fa7x-uHki#{TE5;Un;PSJ+u8$Y>K zUg#S)63p147kjzW$wh|jI3&pk%OrQeXj&_K4v@i+b1QVB{j~M)R>Fw3Ep-t@Q zBrm3}1!sl%fK)#hKJ7CK`l}Uvm3gAwXFO{gij+iK1XT@^Jiw>>NNaDH28B#4ENJ}8 z{{YAX{345aG{xlgRx4iZ06;#42Kd+Tblc0s)8r(9jWS{zVBScHv5pNtOnf0$;=1=n z37Ce(n0-N48u#K)PlPPEJS=e!$`#~jTg*l!jc+1N7EBawlaX*uWG`$-s1-qt#m?aK z#@zfUZ>6d9Ln}X3{UCi&-DL!VK;(puB8}vxmKjI|$1jqrB+X8UGZNYZYN~@?;oM5* z7YZ6H?XNyd-aM6^&HK?+l&6}_Hy@I)rr&3b@i_|WQ+FQ|%`4Fd@6Eq-*~@8}pq-Qh zV{mbrJ^H4`IWIM0uCBDYtrXa)aPqbmH{)+5yMl1Zna7-(0y-%p#$z5tLxw&}+<}yI zMT)AX%jpyxmjcym+{;@n)($5<$MCkLdrxdi%rt-dj;ScOKefs}mgt^vSV0>Jvuj!^ z%4y`YKK`YAZT|qJQIPQZsyl&Zjp4y)QI8pfh2i4G`j15i{v_*4)X096WZ$n63>n-XnaMQC3Gz6ld> z_?aBmo}AOL&|vug027s!3GbNN`U9;wr{Y{bEfFo`i=9*VS-(^m#;lpQrIu8Qrk+R{ zU0P^1Q)6R{ka~nLJ)s+)J6lGOIiki)BY^(^>J)J<#6^e^8acO5#P)nrG9QYKHe7sE zu_?30EPf;$Iqi9g*J{taIl8AZXICn&%#wb~G-cU`nshO~M!G?j`F^EbrF;weMSn!9 z0a9Q^}}q-Brc1fo}-6EtL6PdzyTXo*2XpCT;yj+wIjuIFf`sTp(I=65Q9} z3CwKar;uQ+QQc)|Jn2${u`ZHI8)jEpP~&N%-K=I6CFT) zr#saS#*Q=WEhZzn97GL&bt|ytk+M*CQ4fiu%{q;9ocmBOY%s?JZ@6pC9YpxC00+kG zrizPQrRCsLZ5k?km0npBe=%97l1xj7CfFj*%)>>6Z7EPLv*IytEk0#?*JkxpC8N<& z7SqjVZEt0oNd*wAYFGYU3!Hry@d@$<18~ROC}eSCH!R6QXtVj%JF@#s=7M3AlgUXI zC)#AgIA+6B;d4(Sy^jYFjvU1BHlL|m+-%#&b7(d|z{at@OBIZp9@t+9s~GRC7;$Va zYh9V7S-|?D;3-nBjV3Lwv?=&mnDHGw+l^B(xV&6140z2KRlmdbVBdK(FKYM*_$l2zAh@jlC~RQ}M>yq*VdN$5t12QR86X7^d-C>It&5%F^9m^< zb23XNTRQ&${jvb+IrUmDCgpcfxTJHCY;6qzQ)6Ia4DwX&wkVqW^iGos{xT_kN=YV{ zCh^&v8)~g?vj*H=wORXL7rU?Y>!}IT;h=PJxP4Wt>Cd~BttZGV&&v~fxqI22JI5iS zEy7{j9eN9|cw9fmQLObmsMWiW(FmB(DP=}kd)ZBVg04)*OR9?n?MD>I;jX!AQ=`H5 zku$dUxKs9b^sx{)imztH_T!&1(Y(J!FJ|ICp_z^v6-{WjrZQWhvW1w6;szefIju$P z_$Y;k!rcPic8)wk$_jz1dvH?kBGhjhvd<@#1(sE)3W3BeYMX+A;jCcGP%lNF6FiTl z_=>BpR)8)gy~H*`$DJ62=d>hjZe?2Blp#6t_V9__Yf`6g@z+f_X)L2T?V>dJPRC$o zrsi=^x_nMGy<6z5w%asWKtPdZ+^#1q%B^t=i@8iL8cBTu7@U4sSl4|*wOKH*gXv(5 z&5BmS1x*=b&eD?*CHjnhN;Y8d4Bfb0$LU+PIJ#Un)5+~k?Hn{?buYzz9JdeqRc>=R z+=Y4|1x9Ax{L#Hv3E6Z`T34d3s*P7GRY-|m@zpykBdERGYwD?*o{L?XV__&c?#t*G z!Bt`1r-@oEd@FY}?%TxIX1i$){{ZSqV85Qn-=uA?`K;5o6DjKHKkS7LyRa&hM((Z`J zTVPd6qiK=6h*lKYk+Hnrk~lmT9#)%FM$O}ondqVZ7lOv(Xb$wbwIlrz#mRsW$Aylh zIMCb4RlLG1bIn4#YQLj(N>gESGm(B?N~=|>rrNrcTr1s&Jl2$Gs+{)J5tEc|#HUJY zZ_!H36nnUHI5E0ZSE3QQ#@wo!$tWdZ)l#8lV@r=f6=VV?{$=l`GrRD&MZ4_$D5$WWE^UT|j=6+-@VZW3kL!+SNqdCmXQ( zxv0MmN5Po0&zgHNGg7*=8?D|NDs*$TTh=_a$D*v9UVAfzZfiVcrKis8jnoT^i`7|x zBHS(>Ne|^}rXmN#dO5UA$M&2%`DMK+U3n=n4eZ%4@I3pl_9(HK+%T3f4H`wgQHKug z+fN88nWm1X!tjm`2$&jr4rn?UL^1v+)`%JM%=%rtk$&h#4vid_ z6+$#NR#z&Sm7J*1wAFjducfPiE}n{{?4wvu*?Y<~dfjm|KdPW@K1%)#yR=&D({216 z753?}!BLE_qT?Zpi;VfNcFG0sCmFdkFL43&lFE*hf6>W55F19~IECwe<${Tl@Mbn8 zHxb$$s4*um!wYp-Y#(LzJUD2}==l#5i1pe0#x6EfsYH8enZuJBj_U;B8T@lu0S+C- z+_zU*r(qaEYww*xgm~!0nQuX@R$LwiD9v@H)ymBI8qw60TFhvCoftDGJ^9<4@~Pel znkNc|xA7@4*t%zs{)3|P?{1l*7F(tpm6^isR#X8q3x|U{`VUu3v^qo z1`Uzd?p1LT5FPlB`Y(9*N`FAI#K6Jiu6Amvv&>v0f{Pt98rGbxX|m4eml4%J+1%zf zOFEqp&MG{@rAbVgny}SjF?dfi%pJ?1`jq9u-N zjv3BnwF#JpO_ulaDk-xvNsXPONLg|g7jq|5_fCRVW>* z+6v;MR{*N`vid8Xlxs;UvJ8P#@%pMYwE0au9X3yir{SL^GP8x+@=>-&O^bWq#g(o$=|t>9aNon4;teJz zH|T)v97LF^eItdaN7?uYp#$)?{{X5R*XnsAS5&uMD7YU}`7i#cvj*MRw8S*x=}rq4BWHxcF?RMLAhAHHr|>K6MR`wxY@g0NQxs=<6I%%-;m zRF$uOil9>U3G+7#DU9({3oMk%vhyj=bPfz|uceh<{{Yca7Ml60*4-5`46mZBQntN0 z_#3{0hbF2s5I|bSi80BWY7Ha9O5y}Xm*zU|Op>Go^r|eGMW~IYWDbj} z%-*U@M2>cL)|RT|+Hz6|p*V=m%SF_yaSaJy^{$O0RxCO>a${4-@0?5W2FrhF65y05s>f#@TATJ77kXJ%J}s02s2Eh~Lc{KZ|-HV0H zj&{(4(Ki#AvS2MEb^D|L0GdBB{{Y+n0J@t2i=D2J4dhQBP*lpBiNicK?a@0GiE!RH zQpN17)Hzc0DNHiP@=jpB)=ycQYiQr)QfFg?}bT9;5t7c3%ED z3oJ`dKJRCS{4{U*DoB0Y)N6uqV`&uJY}s9u?r6A`ws8%PHx1`R;@SpPJ8>pJ?P#*+ zSsM#WzY!{ra@BQLj-P#&TvT07&30HT@UAMkP&5x7>i!)&ns~N87C>rBtg~hGTrAF4 z4pucC?Dg_q>LdEE>biwc2RY};r3}mwkIudPj+Fj^QW#jzK&ak*6-z^Z#bsGuT!?F0 z+7h^)7rHk5S_L$%XaYy(AAa^+;;JVC!oYGkgHS<5haSc>a50r^nS`?kMTpGsRt#>iZt5HjXorTf4*~VQ$ z-VvUn&-$31hsQWt?IY?PR|8AER#>P7+7q2+2cwqvW9Tanb|(5yrbAhOg)J2PEi`&q8zgoxjJ zrph5Q!#5abRS?7A9fgKtM!G?jpmko;+Bn&9lpg`%YHZjXbbi%Q8N;;Kf|{-7Y@VxK zmsy9!$z5uy3fE=T-q5$1tM*h?RADOWjcr%IVPWK>#N(v*ZxtC$>Ys~>xioEcr<%x4 zo&}1bMZaZ}lBtp2tBBcBwb)-x0yUM*9I43|=evIrs5D#^`z`?DrT!@$WN*UE)=Xm@ z*zmZMNT2w8i9-=9-;QL5DBSXLW*Ru!8ZUO-bSg-pHFx!6CzHOQn=E*c*i&*A2BB5~7_!(#BW=YmT(S5l+Hc5l0T>;r6{g2!Vdjz$}U zB6N&5Pr&sxm}kPRAU+y`;=bDAZ>pVtG4i}?WwXC z%&fK9Myv4pt}|7_+I-H7MX!UZ)ob8sw^i|XxAR`~03kc&EvLc((KXJJyUVud`!gy2 zB}u10Wx_L+#2Xm%S*3HNKC}vvM%E8S!*#?mk2RV{xyRLewzaE{N_p~BggQ^nqkmG4 zNsE8M{_EZ9dAz9cvknJ4Ay!qYyR=nYJSzJp0pmLxP~N)(Tg_zlSLPa= ztKoN;qT!C~ESabms&BL5&QHWt7#v|^ceJKgRX=jpWNje~@+q>k(T+B~R(Bt!iO?~m zGDd02+}CGi9AwFVD=V%pB@4wV@Sj4X7(q0VNY90)z=bnAN#K_U2C$myEEesuI5pae z*!`6Kmf{}vG=M+L_fldhR9#5k0cxof`ofBk#T6v@G6>GBC za$2Gl^ga9S5`CBcUj}DIXA`3KJY*SU28M~e4yp29(}HQ8tre3funL9c=hH+o*`t!) z0>fQeO%ZDy#_oqj#NvHQ*6Yy$6Y|yBi3<^h#0SZY!u#0E55;8sC$mQbBOFo(U9al0 z`&;ptlU_&s(QXt7!^I9jxAIF)t=6fLwid838k*d$6ZS`^B~?XLU6nzy%I5)~s>9-% zGE4N5-4wWq^GPg^u60xK=mKVKNU0scH7gsfBYctVj>rN?Wa4`p$u?i0@W?!t`XTP+ zxTk(RD-8^e0;}1i;sv#9y7wxZ5av@E5aOj>e&@of@(~Sc__k#lu8WA0xL7=E_!U}r zE!?+>uZeEs?*2c-24^7mMB!d?YJ;&-8-136=b%)ACNaKh zcqhe-)^kI8gmPJBD(7k94WOzFjgFPyg`pfy=t2mkUUW{IA2a8v_#0rqlkB(}dXZE6 zs!#@}ZsiVT1u1l4mBH~br+|o2|ugD<`F3lbh zxhgcx%~@YW9_j(+y}JtR(n8)Zwy0pS&15qDCco;K$uSPZ;h#qk!$JjmBNt=#Yssh8 z!}_Pd`5O!`6fBHt5vk&)i!-%FlDjBWXB&ou6$7xc&MRN=rm{W&dAO%FhciZnaH_dv9}}(LpA_6jy^rBwP)zv$0H+|aSbo`p@yr7s zPt+9#4*`dM{-b%AEH7-sc90n3`ARMWgrkGT%o@n<3FZ+P80gWVD?HK{A2mezJ4+OI zrkl9qIuA6~!uLKWju#!Z*{^cQv#ORHTe8D9K7~Pu?CeWqmBNzKrzM1Y`y+WfTg5JZ ze{^H)xgu;|`eKRlxZXz>6|L6d2uzN4fwx=9OfY#p*G$9X;>v0$McUxIE;eL|(my7D zDlOcHc^MUKfLS_c!M&1icH4X;SN_Nh<&>Z|)G3Y&5DYSL)!d0$-VBHHXt#Js3G!fG z-8>^B4NFU|uztbWuVyq}XZxx9k+Q3ejQS)`o$DqwKAy!j$AnC;tZOt93~ z?!1RN9hplI_;Xdhoy*x|0J^ra0}R0c{G%;>X{v@P@eeRRg~3)w{{Xk@sT>ZK=ivjI zFyQdfVcL1pr3rC($z7XHKlV+R3E9#>4jx5Z>fKHD!DLuDw%>O z5szS}A##j8orjs^{YQm8^I?W(YkR3(fsn%Kcw80!txq+XWPz*{=S6oo{nYqZ;P>KD zR@CTLc|T=WyH0K^UE`b4QVMmH49u{1bwXhOweuu;c|Xd zpNAauK(05rAD7P-=y?fj#*oI)`bZxnH z6Zft$#}&$#4cXYjWsj+?7B>d=WW`47`SeecTuh9TCsQtCMTPCS##!LX@Kjx^hX;QT z6}9tQ!1`iv^fl*DvQFXck?1LU>}20b;dt^*#KBG0BaO5hecKDY7JNjFjCrqx(>ZSN ztz;v}D$hIL{wHms@>g=aA>OKk48cvjEnfHbD$#|fcTbbD)48Y0U%Rf`ZPR6Hdz+v` z#`NRKi5!I=afT&OqqfDn<*5@~WoeApGC#v*P3@*2^q$>Ch@c z8(0&J*np{qhcFz%rt>eN%Ko~YR#;$pY36JYIGjdb%Ntc&hXvhTa-yBMZwW&7R5I{Ct!@OGN^+ zYE}4D^5UHgl71-&w~{T3v%jY=yONwHg+xZe|MsSz1naNvGL4j@-4bc77J#s-!vY zR)Z+~%RVkgQ=v2qEOCSA5o|0C^Iy#3bn`@E+nHDly(+hpJ5)CqcM;b>zna7b^$b~~ zfD_f%R08UunFm*@;;}|Ya}8LGCKmuI;0|oMA()b^D7D*K?3zh14VM-jscmW(xeQ}E z52Y5*h~LOLW~$z}#S z7m@c@d0B?ZBb(uPqC2|Nl~w#65<+v|bJVTYAA}2fp_)e;@+CIZ&MT_Pn5>dGh+pw} zC_5Vihshi+2RYQ({{Xta$lr|{&`kt??uH`+4oAdtyj5=1)fE>G%@y{++AaS8gzEi~ z!?;Vot4lJ0z-z5k_@+g_0h&DsVvxZn{@sNTmQz;&LVE0XvQFspiaLc>^EY{m9`k>TB;cl#o9Q`fr8TN{`QICTk=V6fA{h2@u@-8&PEt8ox_H)ZnRCrt*L_r^0RW}GR(ukXEwN>v4p?PD6Ftbl9o$7nkrrRqptwQ2;Ra~q* zeLM{n)hM*?>9bD@PWGN2n{@7It+M4A9}`QDG86sWL8Dc`((fjUDnh{+D4FMLiaVB{ z(P|hxmmS=7C3}oB&zZ1Uu~>?EF=mPiyQ{9K1(kPsN8)vt^LUU?;cBK63fB3#d+bQ3 zO&7WuzcH}=N|OyPBwS@8E2Hb)4t=Vh2_dYO!S4D{5aJ(-w;o;)glx+Q6|P&8`mEBI zIQf(SXkPHuP&KPXL>$YY-4n4hSKDYL*Fn)0J{t>(a~<)uxoR9#xM`TtEPO9%<`!%{ zu=t^!gQ4a-?Q`vQD8z%EuOQcw#kc4Mxhti7kz<9&a*YLM%4z7h$Q?W@?4ruJ8&l+~?60LOQV^ba>0Uu*AdICpE!$y@qL$U(s1wy&yn&05q2Hnd_SVT%0~>2K20jKWPpBRhVoa=?w<%n_#6gU z+pC)2^+N?CxStkBwDapk`j@)|UBf9KvJZ)`%lWqN_h%RYQJe{vEtsHs8NxZM9nL*Ji6;_15bN5wFzliTHVBKJarq!rj9Q zjV+?H@`YC#yUlyJ9ZsgoxT)#n(7oI>JzaCMp@a^m%Cq9L5%k8tmcq5anfj{FJqN83 z-8ILnj|g7#6{+}QAL@&6kPOa06UK5q7J2uS>meX6F!_B20^La3Hb!P`4R+C4P&$gP zaXT>?UU$qK4f`qun+@2wsen3xWxjuA1~he$E#4;#Sae?OMDPCq=oa#91er(F&LR6D z9u=A1O(?6{c<8Y0>07Km9|wwbv2Pj+oH?k#1K!C(YoPR5%@ZVLsnI(SG<0q*BSqQl z`m;)Z{=0SVP@ApxGXV^;ej+ZnFviHEZjE4|MdzN%EXDK*m}v0qo>?76kTWLx2WUYQ zZ5ok((QagdJaBfBL@p7-W3c8YQ!pJurpCZ~k~~U&Pyp zY@bv+IkKHsjtmcI=&UD#xZbr7%du9s+VW+$IqP6H%X}dqv zEN!d!teq6N$3n}?6NmXG#|(w8zJDSYv&$iHBDX|r-Nxe3`k>ycb{)dePYX?#?p3jx zFL?g|(OJK)%KX2otv+L2x-AvP%6Qs$wb;VR-q_qO8s2CZK`YNwRY zGql=T{{W^te(Ja`&xpU9j~Dr>1YC3rd4?1upgDY`VWmTN}l z-co*%MCqXa0P*1&B35Dj7GV)8no(bEmR!p2CvH~{G$UhaS6=DZ-qOS|(-u}R!<)?( zHbEB&a0CXbMUHVNt4I4RKWtBkbglz-;(u=ocOcHri##B;{SaVY`an-jR!l4{9%XSn z`XMxKrS3X}+$U$oVz2cb_>qzKF1c1sb}5DJX&g1BSF}ZfWLw7X1BGm@p;Yfun?w30 z;j#EvOy;(pt9un;i#a(ObOmnTj*>=ns&T0qw&#(yTeI)lE_W`f zJW+=(?wLbdWr)K`g{!7$pdU;6)n|`^!F-aqtKV6Ri* zY2j6A;a9tV7V6t{@GsuKcTWpNrpn~XI-4rbiOu;~sf5F^o@VIpu{QNoiZ?&Z{3!eU zp#3Z#>Z-`|Io7MVip{!x57i}t_wu?=CM?o27>6=$RU=`T>3tO=ERCqXc}$f1qA>V& z{{V@_e>01FyqjR1fQ}Y`@s`4_MW)1Ur4`fLd$yM3gPlR@gcym*gb%vj1{!tbv*6m+ zF#S#oE-$pC%6^*ACbm8|yY)<-3^8jtnJfrWVeylT7NR#n`J|?80LrvI&mtXPQB{w%2r7}8r>Fh8hR_P7OD`18>-zo+-~z($URp4 z%apakf|{WCx=_^@Lky1%)U~PGz#bahqlV~Zai=Tjn&BrMe|3k&G&Q)G##cxUDmg6p zoI!E&RAg+grAnhYDI~^Y1Xj1IG>|xXDBx=-$kzmVtk|jAJdlqM@C9D&&v${UvOb=R z;2x@-+xKnV)4|hbpC_)}R=adpT)Va%-Fvz#6<+R(PXjcs$~vf<6S9Kg=T)A3NA8a0 zh5rD0Dmq$!fmOG5wKpoevH|*?Wm%+i{F)GUNTT!9uXe*Lywx}u(w#2*F&vR>F$~;^ zUiNpNAz-&m9~Qkz{+Wz*eU@qG&UyLB9-(B!FiJmlSl_!n z7HU*=3rZ>ro{M{kz)skR_>5%%9~E?`HSDGU+*708Z$7N+BkCO0?9#^Sz9IvuVnUI) zkizgYMjm)-xUr3I)w_Z^e(QSZ9UCz>W@D;{wAju#4(-SCm22nWK!`l_ZDv>7D2`2NTY#WGAx0!r|cN-zT`70aAvUeVjHrY(*k@kmX+%YWIpP}tnlk-2G^ zIAb7m4tvY8pEV^zM-k91Z_8(~vEwl`xw5Zm_JDExEV60r$ekDhg!NzX530?Ho}NmP zL!6JOsx;*uTP}8Js^d=XW;J`)0pt}!Dt!D3_*C$1;_0_v8pUhi>$1K!tK#mwv6-D2 z4g>Ck81XxD7hG$U12Yk46vmS)ICuopoFdl4lEfA&~CCIwEJ5rj-}E zE{9igDCU~o8)PHt$a6sMi&}oC*=C+n{{SZ0QYqtHuP)K4bq-#;wSEd@$r+0Ys*|jlquMr z#GJ|-eawf8?bQ~&q!D5dc8!wV9d%4pc^%cNKGE#%&5lkM@c;|IRs25_+3jN_tvUXw z(#MBvunJ1p`cxwn&E3Z%X&Zdg;N8)*&ePN(9R~9R@7wU`xY9^Eu))zb5cUf20DY$1IuM;-z`$H&CeUSgKN!uWLR?xh`kP}tit0Y zY-Z(vr_B7#4mGA_U6_mmzz>t&H2(mi-Ih1lT7D{0$`{3xJL315R5%!z$B66>OQ!ae zk?!V*HbGr{!f?dm#vScv)%cK)Hf)^7HIfEp^D8@Ab5~VdOwDKgNbcFZK5HywMn5X8 zYOk~7TGM5=(XA@4eLWY$Uo-MmRv#s&a*IW#&3m@)-1s(HE356la?1V6_pP?uHCn8$ zxGUgq=!DX4m=85ZHPXBGRE%!h|Ahn@@?(--B z0P|NnY!%QA6)rTQk|7g)rJzb)Me}r4Sd1PJfx(;tX>hlA@ZTaGRS#*vDBN8`!2DvJ z>lHU<&0550XnvE=^-@8AF}iq;N9OpdpNhr5EVAfN!}d|*p)tnTA6)sAc&^-%I>TBF z+Wv}Hc195U9ICZ9U&~?s?niqqE66J}lrlp>)UW0xC&{bbK+t-uu*BydMVlLljv>;uE8OACuKZFJ zM#+)A8)Zz{kLs%=;g#fwjyFnwHG(j~RxsE-rr5n2bKhnU2})Vje5TiQ5! z9+z9#V{GgK`3?U7MIH_;tdY*k?<@kRYW9x)L9B7CD8S*}1Pqmek2;#Dn$xVe*}aG2 z(KWAO-Nw@Qm4Jime6D}moyswV-({S_>Ut}v*!NO7{nL=e;HNBn(TNUIqpGC9V=#@D zN3gSb{;0ziA^WqdYQ6AuPd`!;LgviV_*8K-g!5{P5!wV8-K$G$e>5=tgwLZi)c8U2 zPNBQFmUj|XMv9e3HBiQ`GLEZrhjwW`rz)WD)jKc3c$?|Ax-e>0BluZiu+Bx_X8LT7 zXEv>4gNW*)iwlA>%ajq*_Ch>t`?&e!0ZTp#6C7`GG*>iPSM0U!Uu6om$|rVv@gg1^ z-epGkq%IHom$TtGrKMK%aWpS<%>IhFyi9Qq!>L~C9rL=#f6-)Qo3{QI z*%}g0sx>W)X;N1aeBM>c5X0fvBW9G3{m^vor{1VG3^V0&55zf`X4zI#?GlcwaoM}Z>O|M$)v|!g-^HlY;w zrI!sXc}>0~cW$WXLYmhTl&|BMMutkm@3LDk{7Wti2Q%FHPgJxy2sWhSh{{S(m?qw1=wDUpEHT5ff1}Zqfw-HYEyiB->950fh zpLIdP-d$Ee7IxUL>etn1%Krd`QSUeD+-osBFKvNU7@ozms{i9$Rk7HM+nmg&uwJ=Q}bYfTpzi7O8f>@B=(X{**{^#0ZQatH`uFrySy;zA?5m2NILAuV zsz(`>-<=T~w`>C8yeji%uS=%L=Hz3v%uGvPOf>f8L)c18v`S;(LJC(S&WocCru%5ApF07?YdEDR8<~x>pIsEMGw$D{Z3vd0Uscz{;ujO> ziwLc4PHEUVVtZt7(nZ#H3Q*FV*P8ffxQeS=wbM2>2g2%st->P>4AVYGR*bN!hAz}| zFgboA>Jp3O&yJbDk45aAn}vo3fvZInkH$}G(A^2JY_YMfl|wTgd5}54yJ{%fA7o09J>5sq(#_ViDQ$Kf>Fo>y;VKTkwN5V3=mmRwA2drJ2bnBVwHsb*o+ zEch5b*fcUc&>(R=tx1pI36OS?7>qNGTIzgMLk-z8I|kZPxmG9mjK)}nGP%aSs}dsk z1kcOqUhS3Dmh5OQQHVEq;i}7p8>u-bLm;6R?pZa1QfQhhVPF-4Y<%B$EUq*KRr9*q ziYDQk1K&;t2Crn34sg-f<@%N%4S~WqO$&0#HGinLk?ONd`kfV)X40!MTq^r2M!PJk z8~Uo^@gHWaxC{#$`JJlw*tgT8Y&*9q+h_$>($VHuym5M@Yy<7z)5p_gY2awI*{02V znksyTz6JJEHqB3SUAJEr&3oD{c51cm(xbhqr+LNzH*XoZk2SpCmdSesyN)j_1;@bD zDjgwJ5s2B;*$3kB1^!601BYlq?!{$qH0h#YmoB!^7DoJ^;YTs4cBG>lONcy`%@hwYKV?#&bX}C^i7830L08{?}*;gHnpcgR?X|V|R zY>wlqp(R+Wq6Vs&ig)o0EOKI5DFl;xC(qcjmPx3M=B+8%X`y>1VWXNt&m?87IuqQp zs@{i1E(b104muAs=6m;UrKa`9z*S)IuXuJNc-MK^w^3l?;(UiALWi>OHGU#)8Ub4M zC}Mk0vgE@snr{H7>a$@b5|7<(F!)JdCqUH}C)?r}ht#_cMcNnhabp?(0J*xOQ{4>P z%$kh1*+}AZzRAsX1El568mz$Rx4CF&E4MS9mAy*dyNRQ&i`?ZVw6!d_+HRWZ1wb`L z{dR8~87=Zn#KdcqhX$Q04U?zFJ))wNV4zwp6;2Acz}iap-(HEOku{wuqnfx)yMXIN z)-n**w@!;bI~396)2gNy4O94>s9izOcQjY-Syq`xnyci@xLOCxX|nq?+iwcq1@`IQ ztvj0cs;RP{EvDNo6_wY*tQEq)g~rh8D(>6*E*OMO>!PMB6`9|Ks+c@D9SKyK)MHOn z8y~!N6SEIWBNj@@p1H~7w^5f>THq}(6R|2c5tg?Z1S6K$K;4>RNE!f3yR<7CY6+O^$R z@tivt$-{(lK9?bT$qTmR%msOsUEN#Nsu>>c2c6uWiwx&s#JFjy9(mP!ZUYmO5*x4j z5U~yIxuc3)DB&d9sJjmzwanWG2LAx6b0dyCHVv)>lC$G6j>Jknme?j@qrr&aV}*EB z-Jytf=*lv(t?5PV(#X@BR!GYz*%5>{4HG102^D&cVKX@Avp z3xz^0cf<7hAl7ikIRrO)wHW3Zk8;C@m`>cbjXDMJiVaht!N;2@tA%t64)~;OlofMn zcJ)rh3OTNC!0+=+_K7{)Dq7FOwvj|i&5NC}k5%qsGP|iOD;>LUM%h))B>A5Pp`;Hq zH;?@lSr{Gr_jT`S+_3IepCxaQ(NSAwn{@H2HgnmhcH4B@Wv7R)bK==oI)M$%$5N&^ zma@Zy5}zcl-Z+gLbx56(K1+?nn%1RQ7cMsl{Z;Do=C^okF?XFTtB=OV7vyE!hU*U3 zIDK;({>XdoAO0Z`04J9S)o(EmWFY<{bSPw=PtmH7uteX;KhzNn zfrIx>d=ou|<0+xlK6x4dh~{fbqd|3g3as$q9NhIBY;arG!46+1t==~zROVj2-aWPQ z7GC9p5nVEBnS>4umF~;M%hd@xXSCxlXr@M=^jIeLUKeu+k*#xy_^z|S?j}moeJYHO z9*Tisr;0qGt#hO~s+kGaS%p5`%dQCs@<8ID%Krew#LN@}dy0&-*1Z*Q@0JM%F=Dqk z>DKe+%2V+9 zj`Anl%|2(_B{Pa?R9tG|mVs``u1DDVst#*D?^W z@mQjFS;_k4tZ8Sz*f?e1fZqC$ED>F#XrOm-Ji_k6!Mc6}IWOO}E*m+IMU6I(Mr@r-gq9 zPVG&+YlY;i-?F;ZYv5e1;N(SzHSVzH#b?Bwewx`)s`Lx-EU`sjK!L?#8xwr)Rd;*h zImxH!z97bQ11L^0-t^&H$B@?ft~~O|`UOlg1b^XP_1~@4_=@*%klsY9;_)~9Em?4Q zHva&L!s=nw#owyAR_<6J7|`E0CVv*~K_D#tlp~SzLO#swXftwq+nLF(l9fFb9svo* z3m9uWklntWXji^Fz|N_iwb|{-Bvj9m#+_A7UgCX9WVk#7o0R4bb%NY>gv^Y%0P0

-P~G?aj>PTY>Q%fbc3dd`#kQ7 zyqdkIwL3x2dF1z2iLAoE-Tg_v&5O?0jwbY3VqtOeTgG8>3RjHMsqjqPuZ-nz^HlB! z^NiE%smP#Q6G&}b4v5j)ww^%(_PDp7Rs6I~gnl9_P>|!p-Oo8yn-vgmkz6sE+&bup zZ6j&q)qdvl+2zaEDW`>Xa;>vPqPn0Pa&cFe-}-fY}2`?%v-_J zZo6ww3Z46fao^Kso!xfa_&ocXc#=UJ61l<0i|)60fx)WbWlH#HhIN&(gkLL#0<&sW zxB?)_TKTHP-!#`8MP79sz}z+-N1q_G_J%4vJ9<{=Iky^lpSfpI(K&(7Y&mYS+DxvkSH5!# zzYBV;))xy)>f^~%7mFkf8rF&|(3&jI#Xs$2TtRz}-5K8l*%; zxw9Q;wW@w0qLJepy&k;#yO(JDBOHyP2sYuvr!`Vl7{W^4;|@l2=2m73G*|_0{GGYl zMUO2ejXzBkcxZb(?p*A(QDd;J4H$}txAS%H-(|MwtG6o}Nmp)B=Dp=y<&}*94Z3&~ z?&z)F(ObKxjjzeuZT@SX+jp+M9h^HZwOXqUnt1e9RXa%|UW%7q?SWOsfl;pB6-IEO z9wJJ}?jowi#Nw=}vXI7K=(FNp%rhzV>WiGij+66fjCg3-3py}SA83^MCrcDXvGsAH z6(Q9NKQ8=mj9i&n!kr27UP-tpsgn(F`n%R0`T$R& zym?1bt26VeGB%I5e)}|9EjH=kj^(^-_&R*Pz3MB|ZoT_#(OFYwt5vGkxm~nZ?rE~i zRj$hguX3I3R-Mer*{_sxM|RpSH{YV*7g^r!dMxiXTrFHqSy;k-7I^@LonEs|Be5=T zA!e=K4hF*3-obG`wOMj-ynRc3h{VwT28HgU{cfoDnsnrZfxid&DtC2OQhQ`O*1kG5 zzG=}!!31&ZkbkPfH+b1ylQa0LYEX|Uc^YME&Ttrtb={O9jt9Bt>7ov98Kc$fdx*h5 zcleudn+#&FdCCWx-u9j?n0E66RNO8P5@dnx3?%g`cQl;M(K8V%8uIQTo9SD#iilKZ z;U7|skczd^HN(|Sgp_bh!or)LqD&;$`xS%j$bK1HmHz;Hj_r2SxMplmEN-q1G}`PA zFZvT2=IB%LxH0^`A~Rw1m5%=a_N~~;%tjy*=Tr1f#P+r*O(VFSQl>Z{LR?A>O_(i4Nta|$aRRY|&_ZqJ6RFpn!w)axwR%ueu+ zrJ5q#4jGZtm=!B2lH~|sY3n1rk>28MT{)qWI{CWlofT-bREG@UI-3PA@f>p+`f#

XtyV&*v}LV%Q5ItG?ZV;Q$_G8#)emlxTy(t$!h`Ub2xA}MTap7ukWO?nIlMNf zRZ}K#2(eqdOEQP(N_TB`TX%BD#^yKt!X`{qhSa%CnWWXE6xnN0G%!oiV&nq{VD=%>LuSYAilZ?Sf)v}*-fDde$OxE-1~B+Sx; z4#yU>3UJu0&vA2)GHSH%(m2UL&m}yXs~mUgZnW`q?pwWK^H%XM;#l`|+plv|vXt;B z2XjrA?`z%FY4Y|P1jf2kRB9T$m6d|5*oN1mR!lw~hw*Aq4tX9(=VP3GR(!#hS+enU zRAog~_EIRER`;}VOofR1EFRMRdu}SR(91l%|%dFhRU%CYd2> z-*$w94%4ZB6O@e|pk|riW@tL8rGMgK3Wg0d`$aAhULlXa*jP2x6Hpv|*iM z>QJ>i3J1Q0rJ#Oh=&d zA6X9|q?T9Nk>qcg(H+#!W^aor)l`G`LlYhCLJu{=CggNtE_>ebp{c4E{?)}*`M;%K z^iCa_fD9jtEh>mOjDYfLE_03g_a?wS-_{x1>J<1`jw)u<80lI7Q=si9``y-0Ql!8* z@XpGQ@db#83NlA;S@%&fuO87IHT*$IhV2>ImO@Up7EhZ2K3uOfp|McPMiv<570rCq zP+%W3Uny`l*4@jiZKGOGqT=BmYls&gGN{o{A>&whS}iu|vrn6^jjGq=sk2qfLpksYD)K(JW?#rlN_i#7OyG+h%*J ztI57g5rLTbi?4SH*HrSy$4@nR_mA=k&10w?sM)HI3jnL-aAQ*++OjrDi2Awxl#ake*yU!9V4(#% zH)_*EvK(6v^xah6(Zawb&Oon4Tx7g0_*gUwJXBv4PRxv$=>7ie($@tOgT%Tz>Zyyx zz2l~XXR<|$-~xk(L}i{wNcU<=s=nGU>5w{=SMhl+76JD8Jw958yQa%+zky}1$mp%! z)oQQa*TT_X!q>vn!PCIH*S_pI(SJ#Xs*Ruu=Bk0JqB;*Xn-_>4fo|}aZ~?VSkVz&} z$x}63pt8vIrAo-tLFB*PWsQZ$$RO-w?1Xf!*Sdw%xP5O~U^xdyjG<7wRlNYyyF~U+ z9%pLOivx90xSJC~orHZEP+z(`K7= z?oyjC;9qW=bXxZ`@h*ujAF8Gi*+J+QX`bggy;EAi2UTNaPgSDodM|)5b#kop8M=mO zw^$rIBWN0nmG5M&P_Zay129|+TcGD<<_3zdE8*Do)8gYFeU;g5w1OAA?4^SrBMxBV z2R`c|XTw9RJcg+jcAZ%sauyjhH;nfjt3Gwcedn8rrXV{{YccM}I$)w#sa;k6pSd?7wqg0^4uj;B^)C+0SjZY24Gjs@J`50^Ti# z%{!FuX|}ZSZ{Fv#MXIjL_YPfCnZ)vrc=c6UDwROhS!L5&A~5hawB{;Rcg_N~3bH-K zbXl_jZffm8t#9nA=TUq$D&5GPhNf|2=&OsCzD-XUXhTalwq=cl#c`0&OO+=1`^jVa zX8F^AGAq@nweX%qhzF zj&HG1OEnw0&^2Kl8>@+HgNUb<)DcM=SlD_UdzvmKZM0~rtH$hkuXyJNmdt>EkO`YU)g?&!4JuF7q(Ul!d@b490fPmwOBs)13BRGxUj=n5t{b2_wc zWO$20c4r>cns;U7X=|-sgSn!*7FO6BRnRKPOZ=51V@Icpr;T;5gMXFR z-&-OKE@+B9mo-}YQA9tNHbhlQt!eYNo|w_Un; z6t8l_$F|*kEjzk*E8*X6z9yw=sj~hp_3_-%33FY<7V^#VRLfGd%BuR6X7?_vt#1j$ zYTNjuDXl`vTYjqBEVyGOd&gz+RSc=~?_0UAh&lH)?rN;B+`T?`U+mhe_jK7^y7#^< zns_$u>9)hUs?}DDPm$NTUA2%efG!pVZswjbX&R{`JdcBZ<(I-$2%uFpD#2;rzk5x( zY`EE`%j~Ci+il#kugG7;r+Uj@A5Qk2%{%({F08a#Ej&AHy6UVu*6v%tqSJ1wi(R(c zW3rviJbHMyO~o*I9{omK%< z%dIw7ZHl*Yz6}-jYPIiQZARO7uj5~4o)nRh^pxn`t4$Ab>rJ+A>b>K?MZ&;5D|osq z`1bDW@;ZE_)jRZBcQx+o;c4RO+|_BbPZIt;w($5G{Edft{p*!&x~E9p4yvVTw}pjq zs?G?NYHt4kOTg1Q2U%}I5` zUApbQ%{Je^eYM-F)oZt1mf5dz%Ii+;ySn_9JWF^QcvPaZ6@czj4)^zagU2Zk_x5jr-bnE&Z?J*?$7c z`*qo_%{%({FS7e?-sZb+;A`VntKwStI<0%Q9xXQ8xlanqPWGNQn=SK|{@csNw~eoU z>YH@%G*+(Lm2J8$HtFE&+}Fm}z_*2e0-ehRUgbxDe-`^GU6oe(Tlkmm>)zA9W4BN2 zSMOiHuXfvPv|4v6R-QhaYPIiaw@&>w>)fw{s@K8OyRUk`EvL@ky>sH}vdZgU3X1!6 z?`hxD!MdWab6*Qy?!GRoPVSpE?`hrF$G>*IC42SRe*T@ycj?{HY2TpmwArH3Y5jvv z^}HQBx_5N>Eqr};>9a_T3hq4xQ~jM#sXp%Io9XZGJ;f0^cR4dqq`$ zk-ptKwpZ@zwC?HMw%hmg?%(9AO`3epoy}D?>GHPQO||ap^0e?R+|$O_Whk{;?bEwu zs@J)Fwpy)wns_?*FWuK=c3Z%&g|Bl@?bZJFJbHKQV}@dk?`X~rix!K5Q19!CP55S`0}@PtRkO|XRB${V~P z3~!oaLSq~bLl!(LYvj;Si-VJlQ!kP$$)1N6$@CYW#ry?tW;iXw6xrx1i0|b`XGd5Bj&=CU(Ha`8jE&@oNO^j}2K@<61o0`J#~65M#*c(Gcpr!3v>M<_s5{uB`zMnb zHbMUYBU>ggOM)wTJR~@>Drmvcc4UH1+k?yTq(2P~K8!7xF`_O^JdZiEvBNJzZHUq* zWK`S;UjG0Vm%<#}8k5Qh+gi|(hmnxt!1ieQJbokSZ$V@wWn-8fLGU|vZ=cJWB6|~1 zbCJddXlO~1K4_5aQR`)#3*8rh>3qSP$VA^KhJ@14^jsIjGS}ig(X8+w)WHoxM}e&V z8sU-l%Mn5xk>J}+34R(+MtB{&63$OTvx6=QrbfmJ!;xv`u%hwZOUyqU5&>+sRg`nM-g{&0}jrG$YBBuxB_HHe@9Obum8B&jHDV zd>H(DDtcw>WXP1(7D#0=yott2S+q-`zXoZ&nbj3s6?9&Z;~G%x-4d9hMQ}8~%+(hf zH<{S%(X%kY@-mp=38;qfkc`tfrdv3fA8^Zk2ERh#75@N{A1;_o$))7-XDRY)fw#d- zniA|-#cZp2Jq;fMZVAEiFMo=j#`-UVWK4pF()%jt$-!}kCMviS!H#k)c`7hhbI9~a zjpma(Do=VguzteYv&f7i1JQfZDr9f5E`$l6?Tzfe4}oh#@NF4%k&>ea!ZYNhD(sxG zb(|Slf@_*Kies-xP4qf`MSYF4BKM!eABK4|S!UhqByUXp56cwnWQAfORs_qyk~uF# zDO9eT{8!1w#UCTah|@X72f%G$%Y(Du;YN+Hk0N={@GHUDvg1to9g6I$Wp+ykorbW4 zDqEvXt^RIJj!DSUW>_bTfB7cY$-W187L3+B^ZOFKiMtS!WFkIJg6wHSfUS<7{2+F0 z=R-gGQ$EWch@!(rT#v#>hS1&9KZWaJuY&y%PDMiodmDO1;*WU~;Kdt@BHfQo4PZ!b zp+3zSGKus(3!2+9%KlCELSp@hV~iqKvtmPlZBt{A<{8k)xD*)3rz9c#%P^67o(Yna z9A|1{hod|{#&(DEk#>6@NsAwg*t#mjM~syvvhxk9kek#rbwzul)($R49VUmsT^MjG z63Gt^4lw@!1*m6PGM-W7&m`n&x0Y+!BN;MG>6E%MxE+3tA@)Pl*APy|QzAYMWS9Fm zH?PAki&l9h5}Y3fW<)$-wP3xThTC$SnQWr31>h@KDE2ho1(gj6b~Ndc@?@sZpTQi5 zjiWkl4Q_O!5@LoC&;&gF9w*}m>94;iuO9Zl(c4xb4lc%`d}=6AF@r0 zCxZ_k$&4??{{Rab=;Na&5uQ;U{{V+N{B3E<^2%I>@I?pUj)^Rjfht@eL2yjgM}cm{ zh>BfVDwgR@tqSE7_`r2$z2&Sq35!97-x`( z!ocQ;^-T#r&Iq||u}W#%_`%NDpmCfF*}g{6+_)>z=*;wNzR-hRnCNW`ig_sxq$u7< zpMwagdlqG*YmqfDEofqJVdcUK%(F`j%p&Z;gX~z;S;(=ML{MG9rrkncS~_E*rhbfi5~5}9U=_!d`UdC;>^^5MwZ;qqGUHb^w?S=o$I z?0No1o0BkWu1QE;490Scfp%XeQ^F`+5pY#gZqe%+Av-eoDc$%hPh@?L_9y#1keR5Q zGSef_FEB@*h$PspToJ+L4SFphlULDRM1tnc96Un##ooo=7^Y!fj7~- zo{*lLp2fgh3SJ2|ZJ$)n(NBMb~v!G)s6C5WXs$%VmNBPR%+1To1k z$dg}IDlH4;40E?XxotFh-)L%A9`8`z&S zpTN<^%fYB(79|Fd&9KHs(q7L*o5_Z@aZMM&#s!uW7kFR(0x27&Z5v%9;PQk^M)Q9O z$r@YPbD?=-ml+}w*m3B)VjJm!JA;vkuLg+?OyexTdC82T2|vU)hYQsc;fYR&X!Iub z+9k?s;ThnM{v2$`nW5m7k?oH$vI0)|^7>47QN`kxiQj3KE5NuSAo2`htv)yVo7VPxY7epNjQvq$8uWr^}Owi9~6oqj%4gLpU2 zgw{-rGsnrHbYsw);JeW8LS7FBI~!O$F+g`i8lsJN4q#i#Hl|xNXeLKbNc2YU;q*TT z1$`NM*+T4K?G-WFYbW$MI*@Mh(D3;ab->)(E8xVNFGF9zsbZOY{2U(wuh|-cyMILA z*onN%8ZrL>2KnG~L~~|X!5^{+z=$Oc~YmzuddlN~&!)qQ+5w8Q? z5~B2F57^|w8o-qrLOK;&{AHt9Le4)LckoZ+q0c6s*_Q4767bJrZkX8~Ut)M(3cQck z;jVTic9Ex};Ou8YUIe$1QMJe8+bniBjTK0A;KJ`@bSios(i`#<=KdS#W_mZs^U$)U znHK_hVyl7G;9f#a?BC8tidGtBkYYC9`sZ;CN-M>00jw}AoGDKgC8QC>|_sU zv71r~H2(kwQxE=$d%J6U5;6k~$6ahb@_KE9CIWzy1>gcL?1wo<@`3$%%v|$qFNpXm>#;u|w?j_}Zf@ zIyhjk-bso#!exGkotN3Tcq~JB75pI77*WA|4pMY?$#@zV_Hs0g2%66%2`z9X3yM(b z{0r34qoWc@7!c*rQInDQLc$WUAzwtsh`HeOBpP~W=vFo@L*%T>AbD@}COH-CZ;YA} zifHss=?O;6G67>aA41hxITP@B8^~YB@PmP?D8D?CGtxilM!-3a^{{Req3FE3mO&r83X_F_j7V;dUkpfhCixSGI>G3IN34nX4z3O5%om5BLk*0D zm6An-RM@$Ga#O4Q6(yg-NoAHSay1Bj7=v6E(+Ko;$ttBvBgnXDj)&RpkquMe*lw_9 zLkE!v=;)TopTQ;n0MSAmy@~c!vky#$nNz@~hDEIst&v4d75od(u=qAtgAA5$lRO_I z_A;MC8VNXjWK{ARu#*G8&PwR9mX3xFrYCiuXJkfO*r8L^ zC*c@m-o%l?2(Us`*ZkphE{)&!~(3QZ| zk|)9BJ;CfhCuDRX`5unWHanL-4C3~5uWk(Gg(-8gll~0(or&xDG0>aIhgFezuu6f^ z`-SAKCxJYVI9!QmX96X^!<-}0mohZ@7Wf@l9vk3Tdl;D7qkN4$k&Qu$n4Dv?@RIpC zI>Fe7Q_;YaIfHn>^;N-MiO$b~JR4UchK~k#E2i!TraBOd3go4@9 zae{d$wGA7}63p^EG=`ef5Z{3>1D2DKN1A5DPF{$fnY3*6v8Tfld^r5H)<~PmR7)^; z9zo2b7|7oPzDn$a?!=-o(4VloIq**-c=U70d9 z6i)>pk&eF)ckxl4Qxa(&PJ|kT;v7QGKM6EEp&pqUP~sE8D3HNi{xtS1_Fy)d4muLW z8|(3o5f&LppFzrFOF~s65cf*lA21-sDa&ln1}DT9k^afw2+^Jju1&wtOjnZzpBsWZ zFnOECcNVtkAE9FsT2!`_@%Bv>FsT0ko=ohk`j?7v-u1mpb7}IW{c4ZBXh>z%pvoG<7me9S4C9$UH+i5(C(^@z3 zTP8tN^ok4OriU2p!uOFbLd!#OK1PEajt%PuPY3j8L~LvQMp$2D4C)i9#&$kgv4kfl z65RfYR_v#mDXNyr%k~;gco8ed{2Vzi(A4y`_~4EyOG()QnxeCG^2n3mNzJ1yg!A@J z&SwR7ejDkJ!z@>qq4*-37BfcRn@7m>9ADr|1iT&%Y^?N$Uj=AUnMicN@w8)vV`$3^ zO;NO+qFd0q75DZpWje+ryQZ{ngXFUTKOyS_UP@jD^@Cg*Qr?Uwyou;hoWc;mn!wx+ zyrrS%DAvTEV@ueY9?@_%lD~wwB=B%ItiQ%dOs+X~Q1WEF z8GBeGhFr@_o(BEVx3Z~+fnx%knDAqRH}Mx{23!oJ*pk!PychfhTr9#*|J_mNL&Q&n8>Jo(RzV zW1c^whUBK*7xKJmY(t%bV3t$Zt@|h@RLQy;Pk_zcN_GDLOGS##1(f}g9ak@xVyTIz zBG!ElWZb=Z9V8e>7EOSK}}=qSUPC1e~%*}zI1&XW3oM|?*q8C8(2IuT!}UKX*^`|DHcbL4}qx7 zGE7PIVqol%qiN6L^uEN~&W6T!gVFFl0>I6c4<>pWN46p@9Dmbsh$M#ESjXUw#D=EM za#y3+;$0E^8~iyio(8!%*o;HSlwQMAOpcPX@Kec(EFvz*`!0JO&N5a`J&T_LIifp9 zo&=WW>oaz3Kf3^maPm4AlsCzA&UycFb>LtG3zXlP_I+?7~8`Y8@zm zEnh7UqOEbFj87$eoJd(j85e3Fr&{-z$7^sWm}JcjC!vo+&M6@?_*0#qMn=y__xRCN zb(G-2k$5gR6MSIaPi)6R^fi$fd>)PkHBUpH6+05a!QiPTn;2a=h%8{;C$ z>}mEc>08Mb$Xu9v8gGLLei)u9va!DZ03L?Q+tU-1&Wpt#nO5>tG{rb7n=z3*?4$RA zyUDIh$YYdY#sqzd-HElK_Ah2RW5{dqh|%zeCQC3j^$sbWawU_7S8Xd5Eu(JAwaH=C zifIwhqsIf)C~GMp#~U6>#MnxRw1nR$p}Y960>InZ;COVx(h@-{(23kpG?j-vnQ}kH zPXhK)=VczZ_|vcOR*LMnJ&T_LgKC-bd8eUMI7IL@4-fIiMc_zCRQ4#qnZU442!>aY zVxq2DJP21PS_u=#fGMZSZ-LoC@3D}bR!#$xHcRk@HH3q!ODBftdT7jkN}V-TBL4t0 zb+){i6|&sR?r4`UqwyCC))TZnB+rB94K37Z{{Wr_s?oIm#)wwBG99U|a#EXJzD*D} z*ygQ;b_C^VPZdeIL{0CKFQM%1jdB(ljeIsBO<;I_DTR{wWlcQDM>5TfGVw(AWs9=c z5gzn>e3)E`o=mMT#FGA+Bk1%7| z8+bNLT@-1e)J0RA5P}oBse{bbLkWbX?$ws`N0%Ty8z@ ztqpi76_`PSmm)cC4U{y+A_0on4zZMA!0R%Q_1tqj5ePt1bS+)GERw+>to#( zv&rmDQ)@%3{{RW#TnLM?N63lbST>APr$mbcT!-stvz&5xqgNtJpV;q_G8!L9P8efP z;E9$s%)yRfYuXcxjdP6=@9?vO4Vci42E$jY>p?XkqSlJYj8JW`8@wpQG0?8@1e>?h+4etLHl%pR>+o9IDnfbJ=-Ti^ETcPyW=cHl zYdA1RSrYDxS~GBr3)&M>LczFB(Mp#j?Fu>$b4X8U<%A!vMqu%tOmfEVgr3mt4xyg&Z{3}G!asCjrkQZHvVkAp}=Hag{A zOba?VFood=%d-zDkG}B_@BEFSRqlBdMCBGC)EE~6TJp;m$t+xr*~ys&C?kWNTR! znf!8Mbj+=ma%SO{tl)_tu5?U^UiLM5P>qSAI9Tj>Yhs9xW-iWoE>;bx2%8x3M3Po# zqF-kigJu}i#T#Ti5Ue6li54jbf^1fL(H|y?LwOXN9&~H5HP`5GtYl4ME7xC!(Nii% zz?)iG9ekOP*8{DyOjn^=XN5<=Yi${9TE_<2gkcD<%rT;RAED0#PlIM28z*am&P;B> zzP5W~RbZHqY}y@d3UF^GHA@7mgKdq|GL+6J=iut#OQgO{35G0ke2ach*rz9yRP#md z{v*(jf;-XO`xT<>SVD5rA!+qJh`oyN=>Gt^B+LFtqTL%yE{`hHCz4DO_svp$J0`aBl!{{R@dYa+(Y#B@X_q07BluVpWj{!uOqgWHw( zqZ>Mt%UlUNk#3=u7OIG&*dVAfID8TJhh(x*Ugp-BYBRXmjfqEa1-vUhyaNI8$HoOfpOQQHEfpZ$h zM6s-lW|`ak6w*AirZ+Os!6J>^evmg zn^rcvSQ1dV$yp9oMGJFI)F#ug`J;`PM4DxB*Ap*ClqH<44I(?86Ug_`Nz*gSAyD69 z`x_Y(Rh7vKrcnG2aL@dgk*@SqHgq;K@OM1R!Vp;y>LyXO4>Y&-TB>8HneAOctmu}p5NmfldTT| z$jJF*?Um^nnP;|jSYnS-^8Z4GAP%Bh)z(CpU|7i z$nyUH7Ga7HSUiy7fmcx%A$C1vfy7a986Vlb525THtg~%18bfyma%K24%93~zin=Z^ zix}2ut(lpBjJOsTMGGwBp^_W&KFU={uEpfgx19-Ej*BLAN62{ zRSJ{;01a$vDjH9LE`v}^(I+?(gV$now8LH_XSf>9T70r1oF}obrao$(7Zgz{N(`)yy~yG&;wz7ty)E#-iaM4r;^Y;Ae}S z`4>QP;%a>sJCzcAsFfTK&HN#qJ>aiv4d3u2cGPL`%hFAVbcN!D-s7h5qK z+Bf;EGC{PT=uC`(`lAsyasb>^;dB{k0g7gaG0+}glMJEu5;b+vEFP8dYhvu_4zsjL zTbantd{+7^N^Ps)B9I|0Av4rV}>J?yl^Yf zytpRK?iw*}e+-0{;Z?&pQJv)4gDoa$VHKff%GQTgxHKPtk(urIoYM_#eISLs=Em zgyMz)9D8N7{SLj{>%B}^jKPYlH?qeZ4)15aOq9K4CG@Fy(Bn!%2b4G~P| z@u85HgKZeW;74Nd8EP{J1GM^<1g@bMa_#8D$L*j)$hRZ=>t^&!c$Srd{ zsSR~-$nzl_TypsS1Y~+?(Gc&9)Yb(}1k|_BobqO>M^asRz?@a4<_&%j-z+;g5>7FUw7XOZKxoQ-}vQItsFSCQwEXQCl2_#Axt9(W=X zMSU|qJRe5%$n-`_lY`OHmB7o+eoKrVSK`!gGI=D<$KeXFHGoOIvLV~U5<1Ko7Htjr zJho2E6M^t#S{io$07XwQ*`b$|#P~n6X>umki!eNsYxH;(JQUtoi4M?i(BtH2TC5%D zPZ}Lc%sive^@b%6?97nS&PJbRTVX=kIcQLu;JF3#I}P|NA7Z#e^hu;O%7ZG$L-sep z5(~>RB+oAdjD7_iMLq_(V^q+W*)(CEgJ~7tR8z6AP;)~ogUP=_2}q}rXOk)9t>up4 zT_0%UW}@YnE3_~B!2Pq8c*wHaVt#F_wnbR(vlH2Ei6b0n%6-B0vf@_y9y1O+4{JMR z$n9+HB0S{f7L1PcVqk0!PVi$>3r-4B!qaSWnNd9b3I=YCd~8S6J6fV&=k_zJ5&i^r z?qwZD+UKfJM~em9t)RA?+%c_FS~SjSiwMw;kZT(?RF5NBEEgkgY^HZIVX-wm z4zn*JQI{N%wn>;y$||HhKgGeGaBw}UuxS{uJ@Jt?R_e){qRkgba5waO74#@9_AL)5 zf?|#^i0G>48z~JIjz?lLYFwkqUa8=dlRLkSu?_H9(XFAT9AbJFB!yNI4%0gl?qGJ| zqV~)opVn3i&fPMR`2_JW;pAGj~R!sBePI7APfYx5(v}p8O5E36)qhdp?Iv6?99uO|{{SbV=bQY- zH0cD|A%94J?_(ZEmc?HHaBImt96C^t<$BdJtP)WPy(OQ672}DsV(WPkc?JkA#7dJ( zy${2vzXDj~M`g34z38U0AuD?ji7g(HTl9NG9f{r%&6N`RCqgHU6`0FL(O)A(DD^~1I8?-tk?=R+ zY~~|bV=M_Nqi0bJ!I99}VwAI@&nK=5E%++X=F{2E>C^C$LdjEuJ?O~r$|@|PFqFd^ z-O=VGY|d1v@%XipE7>0gc6d5> z(PkLc!CkXk*&-;(^heP<)Dag0cxMMbhPA<_@>jSe;EO@52x-Z)OGe0LVV1UrZL@-z z!AjOD{2Dnt!^X=<-|-B{mOd#jnf)?xf(;g`k!>iR-$i_;qY|HnLE`W*?G?!x zLNDwm@>A+hDK;}QJgd-{_&6}~DwC6W9vMS8T0q5fWd(>^%!W2l2I74ml2DkHwQwO7 z4LQ+>+2Z7Xpv^Ft`(&~6k;+p~wrQ|JvVDo{iSZH2Ji0!$vFeq`dvq?i>xi^$ntK*y zw!E@v(EdpFlPKmnG(z&zta3d~dN8MWCpMF!z;A;c7dd1Tbl-r|Kk^&JiF_Ec7H|_z zj0ks#}D z3XaszOMK;(Mw2l3@@~ndO=BfkA+9>#W=@&K<--vLaaWTEESB>I9%-!vvev~F8D^3H z0Q5^PhL~@$4-KNvH~v8;AmFrHLoNRR2a`KAEsftny@kAuXB`?4SsP>?;RZE)A{(An z4@PYhD%;qx15aZG`FWWm^qTxRHs7)38xNoiHZ!q}68JI4r<6VE*skJV0m!el+da#W z$n?Oa80I)ek(QDzh_F0j36n~3KFo3oY`56r7Fit+!JjOC2Mc1g{{W?Og`J|q!IlJ? zivw*5wugp&6={5%LPWup(3@Kw zYY26DGt1e&O$v|Ez^TCw2sPQB1cbiEvuH`%v8pWF!8s~bo-l7ResZ?`3bHNWVQXYf z5@i`0+N|>n=x>?$gq#WZJc^3YyIT@(jf!Olvs)YBO|9&;jpVC<;#f9iS4HTEm{i9n zM2$*1Bi6b!_B`g0nG>9e!k*1WRSrH{CvRlAQIr!4iq8WVB@?R7f=zlVxJZJmehoIT zdXA7|vnCkRmy)%FRaas~S`zGgGPpu4vMMB4hOZ|+%MnY%@TZ1FBM?-^M&yRuVh79; z+=iyEZ}R4gbl=I|E}2^3JU{X#r%e!PN~kLH(AEBPrmTQQ)pyWsKyb7Rh^u>SxFh$Qi($CCj21USal7FUFa zk>HGxvu`$^*#nUo|Eo=xT>i4iJffev!LvuvG5f+}!C>mJbE z3zvcX1Xfk|Oc-iaR9Nh@<($j#HJ1Jf`=b!lw8-OMf}n}57-t$MjEz}vY*EtZCnGZK zRYkHBe2Tm#C**UioRt~^Pg)((Or?}`LAId{(Y55`MS@o__L=;P2*(!$@Q)=d;I3G{ zikbX5WKWu8P6^;sNfN8k%=|b6eh2;R%6nC~ZtZXG`%{liBUyQ-M2Ke`AbOSo|KO zIfuvDJA%hdorKdm!9<6+Hl|#V_l73k!o^I4@%YEUzr<^}v6(NA1dGawU{5g&C~8NknGe40X4QeKBNk7!Z5Om;4ePe%J2Sj;Sm z8~h;O15)HBTify8LhCOB=}BGW$5g+3Ym2?C=vPYCb-OBx9|y zA>cwS)(F-_=bAHWEEBdr6M@khc;uau@PwU_@C_x{G@H#boEAeAEdKz~@>euhw2y4Z z4vk|2QRmqWQMPnzBJ9br92aOxVwpQboEDA1cJ@&bY^Y6Zf;cONXOHakJJ{~TnqvDN z0(i?hQ9*DfRNs>?{*fX%XtR>&njPC?b6GhPh-*D#Ed36s)0E;beL^|V)p_JFC7cTp z+D2#@yX=E{3>d^eVV!n6l?ehfV^9!eva26t3d<*?$0m4d;R!V}ybPXj<_y@oviJW0 zMgIUs8lh8|NN2LGt#Rm0Th1$qJwXwO(AaCU3M-_9-z-lfBideA{O0tlf1#}CUXe0- z!dxY8?d6M5C4`9Pp;LNz{{U=wqW2Kbl-EKXo=%R6WpHrPxD!VQSAx)0AM{~z!jfy> z*x`LhX`wk2$!0GliedA3`i8A_R*-XW9ASj)d23JD&KSj#7#ueuYh=Eub{)P7L z-%B{GMr~qE??WY3Z)x&3uoD?|jW`z_y-~V?3hhIHjzf+Fn@03Fh)61V;H@18kUM*D z!A~oTim*qbr8^gn%BBsH z2)sdm1JKgwqm0W>Lcv=z+8%I6$kDtJ41B)|mf44Y3(Nd#lM^g)U`^3*ClPU?4FU`D zQlr9@CN4&gC;I|o;7wPOJ_*=q+&^X}O4d-S{2l_L_#DzSxgDH*jQbjVG?7UP)098Sg9rCYL|rI z>bb2A(c1xyCghh{!FALA;JpPjjlLKAl309G(b+~#tq!st*(F<-WU2Zm>j7w6Q76$g zEj1FD>hU%xtkdTca`$TlAxSs3LohWeVn)!$9}^>Z&zueG(T({#V%)0~6v3ssK+8U~ z$a9-m%Fzir$@(ro>2!9ZX~Bhus75ku*hQQ|-2u_9<3+j&P|M&UMM~9SldM732q%3+3U%|pKQW3!( zdMj@N@_QUGGA^n7jk6TYLX(4B9E^J>MuN~AZw&T`bK&2kd%_j+*_V*LlcXLLN6I)G zIXQP_nqJH>kzk!}vf~18Mz-)YdM<1uv;P1_F6drLZzdMmcBt#*$~!XwfnJAABR!b7 zbgRJMBaQ4|VYNn=Mqj}?LenJeLj_Y8mLfD%&3PFkN||pQjKdy-N%IJDCb^{Dl{}#} zs$u0qWW(VFGBw-Cn_P-ay$!n+h9e@a;N=W1OTgh&GVHt#iH4HW5~1OA zCoob;Q8(DbrF33Hlty5(!H&kz)}WF+j!r)b(XivfMl{A84V39nl6{RG0r_lN5)_%Y z5>{aSs#GCaJ(u)*;}U+Dw%aw?S}X|@jDrg&R7}<_I0_H8rekfa4p{*0?ygXtB6XR9E=`$JHs z-tv48$tVX43Wr7mWE@vz39l>p5jvxS7%d}hEEq*f#{xi-rk9a>nM>O`eiM{< z0qxV5pCkpzjFup=M{^kc{{ZP7ojiZ&F!d9EvAR>RMB06AeTJoulPRr_RwF$Nl}rbZ zfa!lckaK{LS{{S3Kgq0yvE)+#Z#JC#wQP4`DlcOa(n}WMW*Ja=4IXp-r3z6c` zzmjvlWgRFLpDC!lu%6jNsvQ}C~aFFoyH#jSI1fB$0 zOvTC_JPUSAy^|uRmPq5++mR!oNhjiSNbOM2+hH5aF@aMa2Hj&_5IMp$w&X|H_zP?e zq&KE$zTlJX!Y@XmlsC@@N+w|wOd{CGZPX>4 zh>CDzQs>aKlMe=mlO|e`5eVxg60Wn zvdtXr3CLb}W)B|%Q^4Io?idwg6=#f!gtnMEz^YmM8E(4Fyj$$XM6PDGZ{3>wRXkN}yuMA4yYv53v8|A#1 z58oqQ8(xau6UdWU5pzs4@nz16uSJ+fUqY?t@Ja8Fz^6qxXk8N{aS}R>6whNxXv3G0 zx^PP5Z=S@|Dn(3wt_2gR5ps-hotTHfytG90WrYrJp$)SS!9KqUHWx%9T%-1d!ZFcm zFdNqgSUt&fWv~1a_knN~V;aT=p94-d%B~(sPP_>@Gg+c-lW1(2(8&?J8EkUm6GCQN z+tJ}hn_@KaK8Bx2RP_kN`WKMh(6D*P#kPwwM`l-Iz^xH5=KlcTYvD%Fjidfbd>nxcFWHu8=q#yDMV$Kl-zHw3aaDf-0L z8LqPw&tn7{v!^|lRsoi7e`Mtu2}x)ABY_=%-^rT6Zp6Q{o2=I-{{Ti$dKqkLF)AiV zouGpHeKN;_8K)yT#18>sQY)=tU+d`U;g0(w$+zz$sdXH=fL_+hYn@c(!Wf?Wro5I= znmCvtl$8#w6_qr-nKdAZWsy@@Digj8vY4M^znBWP%yhEWlB0yJUvO8bR(8<%yaQtf zXw3j}-;-qow_8+~hy5>#eD6igmdevHO&p1vwhas?9wQn#|usqe*!S7O621WC9!I5?AJ0c@Wz&^ zXmzQ`*R6?D(?SA?)AaN*T=lb#i}r1UcY+;ea0=Rce@2BlkfEH2e89Fww zBs>w^32pc(9XJ)hlK%iQxF*^j)KKwB~-brLW}2pmqL3mi6iC*xiEAuwUja zw4PPJ$Nq_3;bQ{U(Vq5}wzIu@z6R;m7ave3h^qdP`Zd82PbRt8ypi@p6qxj-J&ALo5(YL|Yi$Wo zo<&_jU^R+WeHTyZtaOm~Enm_aueE=``l5-J5gM9$9fUZ*hGfu_FyD<6`V&^x4||l6 zn+(((vOdfZT2@vJ5oZmp_&iN{9)nQ{82lzOI%;fe*{nKG9e^e=Fj2`P5qj$E!Q^@(5UY#X{?R0$O$w3j=Bor z5#K_C*oemlgAz8C*ow55F}z|U0ScdX+mL$=42{ziBgK{zZOiDM-1fxd-ojV{Iuml)p>m2`|tGI%O~;o6X1#XBc$ zQ6n?4H3^C|*3UwWSIT%W8tB@wZ^4SNCmBuP^fszC%R^H`hEPg71e7C_fe9zEg#C;; z4N_vOu{#yNgIlm^p2%zy=+3k}r4x%?Ht*#49QE>1Q*oonIACR^qoX3q8QNvcMMZ0Z z0kg?tjZ?r*8S5sp$95z!_C}G{L{?GS6z7q&bj*ei#`Y7cJ#T*-GoPOi`i z&tV#EiMBRQ%$)_`?+@h+W;a3AUW^SzCO^Chc^3lX&#{smy+H+`s|I3w^P+dYCrK_6 zU)Z-pnG??c0A+#G7d}L_2BoQ$z8KM@CdGE}AE(q~{{SXcLfYjxc^G|iUpnw>&bpSp z37Vdw{0qyejm6g<3D;4Kf1!VwTwh}xyx(S>gRjs&DbCM-W_m!zkVZ+xT95M>sWPvD zA45E<8tRn30|m9h6y;6wF|oLIW+&{sCkr+Nq>?jZ4LJV**cwHi+l}l<6I2mrr@w<6 z)b(D(^gGnk$`qMQie;{ck#VM;Ol=oyufk#e3@|T6(Apzw853D5_D4!Xa++*Ot_mhd zuY-}2+Ozo|k+RDr1ZKpF(_M=`a6GI0A#@8WcAfz%*te5RvSkxraA>o6R+g9Q4^ZJ( zDLX$x-9|WPqTDgy%R=BaMk<%Hh5p4NtDZ~AynOyhrL4~{p&JF4Tu(i0V{Y1G+xs{T znoXZ0NtUd~j4`U%>3^V@B4K0Bd=i$}H0!2Qd`Cf`Ro^Ph`V^5YC9$}#K2*Sv%DZH3 z81%}=pwUBIXuK8Vdo9Wy)5&sc{Ki{^xIHLugG3@!ehi7_BqK~|qC$;hxKvNE(!Cb%9M8sQ4eqc>=|ZRC9zoB1uuWL&5&(-QNseU5EWbn;ZRpU8?S z_+czDqXJGw@+y|LCx?-Fqrr!ampItNB*>p_nQ&y$Y|ncWH6})%2#8N)&QQG#G3B;$ z4@nWcF*@=`MZvR3OW-+(&j8~XJAmftU_J?8qi;yc?%OcQhnQ5K5PfN!fwCsLFCi|* zYe|c0{fiYh!H_SJ^c8>b5(Z8{HOB~r6n{{VDs;meUf zArIk-Jke~cYFWU5y2R_J-vm4bmb05u$8akP3?LpS^1cLJdi>xxBp7P9(uY&j&CAi;_>k19IBQadD zqWYe7OWAWkDROnr`%vbH*W z3lG;-&*<=&l3${EWS$Gzp|wM3X}CmUZ#;NHt$5{lG}rzWS=w*@oU^J{pUa`coO7ue zn|Lt6=Fb3&bxl0rWSbbKtb7#3b~`HUq6;!*VwpYyeUQzh#iTahCpn`HQ#6}1^fdb- z+iUD=$+8bba5*aWPKv$2ilFaN6C{N8et92Sg#ciA#9&Qwf_Kr3NvtQQ<7K|^ABN$ z&8%Qu0(V@Al?r96HW6~fwkd$7p~-X6OJOd=&Ka7|1{q~(qL(JJ8%s=Y$%)p5hmnTG zSW+Ra!yE#J{Sp{^>-x}$?a*&h@cy<_@EtV`*ONuFiG-AW5Wwq*;kuZS z8o}!;{{TfSJFBKx+Afnyvf`ccVoI^#VrWzI>eMD-z9=)@eFoA)Q6ruD7b_)2QR4zh zgF5x+A7;8-u^m;SY&df_&)K%8e`M9VA&Vlq943NXgN}^b{X%;19Q_y|A{_AMKEOdM zBiq_S!z*-fOjM8@qaq_9P6yw#xqNjAqRb=FiJ2^Sa>nLThdAIgmx_E^s)x7M%z zn;82mUjbV-X|r>1ZQX<)tN#Get=EUU&Pd5kp#~Y%a*f3p`WLZk2^UPmFB>ry#sx}s zGP48eOA`18P;sUx(;i=4Vntu(DO;O@4?u7nuo^ok%}HdPGv|IQ)7M zdm(U#@-xjAG|!SE4D;C=Sz^o9hMU4-HY)Ur)D^OXmSFJo$>`FiQ46+CMvE8O50wiR zbY`U3l*#Ci?6{E0^ggQ+NM?4)qBv%G(fu84Xz(+EGvwRL(S@>(kD-vvC2}J{ayYvh zEYk1mMnX@@vG8MCPX7Q_MG04!^Gt~b?tBgHUyuu^@C5Gr|JPtW`zdidwx zL?okCV`W_Oak8|qHorpyB>kA=l^*b5VBX`lQE_I%=|0Tj-o&S~c)+fIOC=Xw%J?yr*sO#Q z?e;N0SyOj4$g^Q%(}2y?Y<(-232aS+SxmH=F-otq`YmYdP=T^I)P{LYBF23|D8!S& z_GmnVW7xqka|5TXhz|6nv~`c8f>Ik>$h5(yD@hu|#?L6^=l%q7{%It;5!^V|p7o($ zdUJ5!h$Taz6e_twb&rYUtoB|_tQnAQUc%^HfacSFPi(HlH)fv?p@m=!8*Q$Ig47w3 z(O|o1QzPvfT#QUToHv}-+%TREmyGepsKQOj<8 zev0*HZ7%)bM4EOX<-hENMpbPNwV$yCuy`iAVqQy~ggxB|Wt*emVOg1S%iynxOT*<^ z{FyidWumKd*Rj(}R6ru}*@I}vVG&dEoR}MqC2he3%~s8T zy^p&hd8f7ylA9lb*^W!35=fbb9B6ZdjdWR|nGqJmA$u-mI1V~O@K}lTQRu@xnARwA zeiWX?d}xZ__%beNhWT_ukK}Y;M2ilMJHSTKvUb*g9>$nOrpdeb8=Dj2#Kr91#dB;( z-KApbi6yMTVZV|!lqUIfRXh$K5L~Vqmd=8>AzNt~jTuAHOfS&k=XV51Ci^ai9C3R6 zA#VLY^8vzA$S|Hw=HzYD&yjKlB5xgFiK4 z-_c5=FrGFxgngciV>?g!5<*I%S4^0#Rq5-3Djcs{9t8QFF@D2zK}&z%A_Jsi8jj{q zjt7hwg4jM++BDJQ+KChNTIPt(*S<=ssD^*4>}95o1hMJY%MZO;dKv zIEjSbCD>44$q= z*_g9W_F)<*SL0)bE}9+u68d-%8@SFvT*@)&4O{w#r{RzhqV(iS91%ZsY*Je6ZS*90 zSRF$0VIZ6p;4>L!5=h6c`oM!Ic%lLd>mW zBKcyjz+uyrTs*<|3WX@`V0hqxwnPM`#(RsVofjxs{JNvCZgg@+wy>W`3*?uf=dzT! zk%@SsgiDE9U&z$eD#wGFh`3rBlY*vPm-BHGxlDyruu}))&VEH$Ce-?5&vQdewPZ9^ z1)ZXq1osMwqOaIgMTIP>;477}uE_gF3~cl-G7mfoXj7u7cEN+`tEoOyEl-rxOOYHESZ_yfOWnLVWg7rk-+Pnsh#|WvtRaW?mq;E>6L=(a{_a0Tj+Y zM_HyiAj!O@K2r8UCd7_RH%?PRQ<2JHX$fqD!m1;0f$qq0j!gM0LU*!s{R%gg2CkXN zV`JE#4GH9X6H;WQHw0@@6S4VKZIDk+6`hLfc%4HWFisu<|r(d?PYq ziESSF=QJf%Y~+}*yr&XNUvRG2_37mcZYCzuO4TEv2V>ze2|A*tD#q!FZ7AYlo7 z07Z`?^#%+Y%1BivLU7#G9VQ*PG$vxGnC51a&-}%=C(*#u!!O`@?LKR4kCep0Wt7DP z?l|%6U|R@0dLX(7m5;#Mu-;o@T@AV5N{HUa zhmsS*rnnZ^Dd0>9Hj6=G1imST5J~sH2e6lANV%jV;u8iq$d4XRO8ErI+R1&q2@&bA zWSH6*A6&!mxG=M@-;bf;=zE=1;Zo#Fcpa|{RL$BDVo@(_)tA|77X&AwL(1-uY-T1) zM6K}A4YsoWL}J@EvYlyFVE1)1ZYX>*Z6Xtgv7_Uu2wvCWtd~QX0dGNItLP}KdTCo( z_!Csrnk;85ERvP#6@xS}YZgeu#m87B*V3fq*wkBT;0j_4+sWsC#T%n*ecLiaW!G>CYdc3J=c}?eaBKik$xd z!WkI!VUrJ5pD?NDLNqjZN0?=0b88z<fS`~pt4C~(c} z&jy;WV9tT?d& zi42KuWru_0#g?`-VpALm4{ReVIeR1fBNOHq>`m#Sa?Upikg_2jYK?IR@EkDkHt6Eg zC)k#BSAnGxK1Zw%8J-9)Lst;5Qk6>OQ3kjpPEWzcP_4;RFX(;wY2fplflc~Uh@BFb(gu@t@uM=p z;m7bVEgT8&{)(%$8q-VA@bWYa?<@>M17M=fI4=~Q=hmP3ib~BTMAzA@;|BDa-jA6+T-8IduKD9tDNkBd-j41a`&cfF_7^Zv;OrAxIz zHmIAv#8}X;=$wfPFz)dl1rR~P+GU+XW63p>FxhE{_$&(6V%2Kt6Qu^~dzCg@=H!hb z*TB0NwlA23s@Eg!rZl{kgc9ce0O8&m)(BJMA{RiT;AuE3D`>G~Utq?yL))fTaFKUK zT=Xb3ry>)9)9^#9?CU7o#VtdMAaD^LahflN?ePz9F&gvuQ+@*xq0!k@F~^NC)h@UZ z?7M5&{RxDHO*>JpO^q3nRJuH2iYF-z7(#fi0$0o_bF+deh@pYrk&Tp&ox;fKw7fKB zY-0FJu}yTB;v%TM4=bnK5exVW>cP(lWZAL#9%!z}zKguFHirmVoQc!QHrZdHw%Ai6 z38M`7(UixWh-(DqXud^US?sHO$!^GKN5>)&_!V7JR{0a~GWO`x6ks=RWKes?h0e~- zjc96USm2|nRmlitL{{RD9O_~O0KL~qC_%|WDrL)iOh5VVGMjG;XJ*2?% z@sV%iWlieQZ;_qi`*nMaL>e~{jGk9{zeC<|=Vd`9%JMP8IUY#9N7W27CT6>5s3!1m z#-Acz0|$A3fv`8cQm!(+eUEe`xVoP5FDwJ(V;vQqMwD@T9CVUJ_4plJA)76a)jXxi zb}iHdk0LHbS-+7?6qRIHYb7LEJ=0Hlt)##v(=FNp=#JUNkeq}2`Kw)EF$Tak~> zm+WC|%G=i?LT2nBj*D*SoW_R2RU3^%v1Ceh`bx(ImQL8>6{{|O%AYffLkL&lu7|7=Xu)<)IVa>qL8{A^hAmlag_`+SmLU*eh-+rEvEpRPO?;VaZn+Hm z#i6lh-v_F`4bJ?ECy5IM*wCX`Mns;;og*lSCFPK`WXFsoVWwrG*r(u_RH<>ZBW>8h z%+laG(qc!6aB}hfLN+E?{Wg+qGQJ4c9gU^JE{M!hZVEohh&D_6F~$PT=rwZ7V(6JS z2O(DEl6l+>o)izsiKO$*+40YG+!6Yc6^C>gb zv09!$hLv3hsP}%fdsKisNy>*8qq!KhK1%WT$nj!Suh@{Pem?14BTqEMMEfR*{*8=G z#VRD<6^!R{n_!+p+x(4r%n;dGKQrmzJ4_#7*JNXT=yOiOrzVQ9(Aw$FUUKa8jd!;r{@k zaHT8-e`B{G|~U${|GWp>6p#+e-?wq-IV((Mi6=t=f8PQ^0m z9^7pSV#CGY)tG;Wsiy0(Bp&P zZ2L~e{E>3Dh0F0<>-sVr*2Z-126uy$_OCsO%(KSJ zl#yQ>SSj!h&{k;+(jy$xG4PBrywIBPC1%TG6z&VjP+WWu4UM^)X>>hfBFc)xl9$Dn zh;W=~NB;myBjLpQnDkVMs?Fw1B4D42Mo7yW$f(*CIewcG1Ge#hpYVUyterTf6-yZ- z;Gpu%$h&x}!1ps98BEB9yb#=R_+H3rwOUPURKjm;>(GaxB=kKBOo@9Ox)M*Y2ZPT_ zR&@pUm7%jLY2hZ|o+SyJ78LLBC{r(HrcC{Q7`h2IEDekuk;I*@QY%J;c1XE2^iJ`e z^ktMlbMZuLty`DiG6L_WI4QAgZ3m+l=$yN93-g1z2nPMMS1Q)>HZ{4?a0|fVrkno& zl*Y5ShCK)5ta`+krb5T?X?(4YF8*B5v;!BT7|2o@jp-St$hAt0j51dKD>I_=zeST& zqU~Q3d(ZZ-)A(II%5jq$E4> zksFiL!5At!dl@Y;wuO=nC|nb+@-v1~H%CuH#s=!%Pk~p!Zs`ndR40c~S0+`sjq?gm zXxl^HhOb3!#&$S-mHQfe5VJ^mHHcvqJcRN#Y_Zhzc|6LBnO1^EXQ57voQ8@$6%K6xSh^Z8y^J{Ex5m@_GX`XT;AR*8DZG& z%lGy*_^VrO^2MIbc!_X_o$J`Lx<9dFxXe}^9qZssUL{!#<+>0Ontf-lfggq@{_gTY zd^JpZzhyU1;D1fASvG91!Er>=TRFa25~U4zaz0L5NAN6QjtLi<_Axgz`16;WCnVY4 zGJKb^c1R83uXB0&7Kp*@&}poX>}4mzh5rEP!&ShuCdN@DldgHI41as82~%9OX3(&) z*q38t==vDWu6GxPE{r;3{tj4PL=}@viR9Z9#S^*lvWw<&E=F!{y_p3IZzNi_^(wg+ zgKE9B@yMxRMmI}XD16=5?H|-)ii(b@cl~`0w^wQs`yVlbyG-W?sqC1MqVLa2K~AF^XiyE`mt59lc5R7O}JD)BcDlP<>dB$yne<#d)rlJ$gI6I&#ov80qk z>`lCgMizzO^2FAk@Ho+M%Zv##rPtt-k1X7A#L(5V{T+W)jxQ&d3;zJ7PT8e4@qsPr znXoozBGT#K_p{C9AG`J}!fz)tTN%Ked|#2FoZXS>O~V{hU+O!wK5%7AXq){AW|@80 z!ykGQsj_N;@NC8;#@!dAq{y@;(Awx~X}s4a9B=$W%M+sq0#8?C^Kja?%M|uI9Vp7v zOH5P5nx+QcE{i9S=+XTUW$rZ#&vaWFM-8R6MK$f==?Hp(0wJ;EwkGZfS(!crT*gF; z*mz-=K|IeyZ4IGDlM;Gxi3#Y<;7xXqWGymyA~Il#a74x8Rcsu#tN9(u+E&`046+&% zTlf_fJ(yy1LZW#pvj;o;c%QM?M;J)D#76lN@;0te(uUuG>pTu{A4F|vjUeO|TE^2v zrO?NNi!`P4Gi~}g3Bq-@Hh6yH$0OH*RK68-Fi-u5V9;h-f{so9#0D)TNpjgm&zVYH(b;XDZTWM&Lp z$0A=mOLV5!y$imvxyXp;*pUAK?6Cg;$?{mbO-?cY0HRB(Y?buqgD=e$f$OrFH-(Mo z)h<*^N9?@zXGqs|ZMM7%lLl=X&d^>%dDzg){0LRR&*)UzQ06Td8mehMo#z}5)dyNv z6B;E4VREo+C494*?Bh!ZBO&5jpWm{V1sh_D;Ym444Q;+&&2}S!{2x5p8V~l?U z!zKn7ABZIR{Cp-k(Ie<*z`GVdwE0YXU7?;8m~j(@7ahG0{xOzPeO2t2u&CQs5ifw| zM@1HLOPbR{g)vVP!N~gAwku<-xlV*9*##zZFSA{6HVxOz@VB6a(sXdecj#ezf~#pJ z*2T%&R|9E6ed`6*;L>b>Z&1GU%2p!sP`w;BjyE8|e`)!RkhYQQV*WehWftvMvaDLVgZ5B%WCfn5OXP z$#V8otR$OP1W&RMBx^{*Y7V`$Lrasj8)got1!Y@gJsIK`T^GnLkWAH_nf4ZxeT9BT zhQ4_;=-+_QQHo~`R6SgoU_1)uc_$`BsqX{oWo4m1Ww(g4`Uy(DU;h9jO>9Z9z6xsF zZH_R-))X7gt~U79S!idsMtN=&V=a}gAFPT=vvGgHF{bs;Lpsciu9?9L0&k%Vh;-I7 z`U@eZHB>e*cmp+~^np2uxM*${xNCu9c;hqoa7}eSuBXnBzSY`p7eMNWyfP?lla$eU z5`?zbz<4`)BbBD*=}*y^0)$|w&wzynjyB#!B}8zPqK__&-eX;j;*!S728}$PNx9&c zyN}Swml4m8sxv56zPwzg;v4YeW~fbhH=!OxZGw3kQ5SiA7BwwX`((piF+bp4EOGX@ z`W(-=LR>lD$khuw_WVH_JypzQwHg}$4BgamEVeYIj}9y(=P1pgtlkT5TTj@?XduE` zO8UzhPEThr?i>BnNgh!da=eP|sHC-{7UdkIL8XrSJi~D2BD7_=xfep}xrTEY3yjf7 z>m2Z9i)vMA2{U{QyQL77Y=oq6Ev%RmDqaX#-poR}OCpbnj|cfd>3lLx6*cIyPSwDL zhz2X8BtJ#QFj@68kf%zBRL!^-AM}uYV#^~kX}XZw?k?c3>T9NdC5u=uPshQ(!)Jr} zBcZ88s%{bt8zt@58c4)hghYSY6#oEMLiiuZxu_@>Ghc^kR7hzodJ?7u$jDE{%_ot#4bc{zshk zHEHdLe>>6-1CS_=%!yYho7B$hSreBc=P~=SvkZ0L^in$YMAy4T^HwqwJ1*QnM5}* za3hl~oVbXV`xQ&ljgiYwkD`KFtlz;9(6xsyQ=9o0rm2|lgw{!l;BF>~H25yx0#o@7 zolDV#OSl?^GVPB^cZIRzTQ*ON^kRRqPyYY{TaH035(&~#2)Wp{ObW5VcE)v_@N>*bm`3j) zO%+xtw{pmZ!MSH{`=Xtwn>!~^va{23m$p+N$$!G=R@j4gD!yTXE|d~I4Vpeh?ST?% zmkox>qk0l!Wr$SAP-36fcxA02w8Xi&Cf~${#VQ@p}ZD>*D z5b#FEx*GRVFVIRo2=_##TFQLG-Xby3u)wy2txSnE!4uxx7jZA6*{6Y0HS#x>gQg#2 zLj62RfQ_#xSXLIy0 zmIB0GXa4|7K3-BUEBPOdE|&9im$J;ep!akAh(k>v+g~P?ee@w72J-MjqFf;-W@)Yk ziRGrXfYVSY(-VF{bXhp=SPtqGA-#y)-bPXvDCzw#fAX8u7Lv zLdnrQHinMD=24DpEHbvt-%BT6YT$aqD~}F%$dXRe3MPCa1FWchgH^?c1;KPIhp|Ld zT(WURj0JLzrLMe&QsM#i48&VIZ@+O=mPsudj2&|UH zT74M;9yq>s8TP@=x62x0t*`PnNNLQ8U@J?J^SdIHymoMd5=>tNYZhe3B^+o^fxwFD zo`!bFOwklw$;j+{8?3n}s{JybDSH}9M)Yk=MX_^%n!B9&OZF`Wq2AZZBpVO81gVm2 zz07!-OXT<%yR?77NTS2!N_avG?D3MaBI66~nfftTKXlAq?~=!o;>Av%tqbR@Bz2)C zg?QPdkr)8OyG}mEAkI&CLxWB;h_wV(((9a4`UNIjSg^ZYilI6 zv0PakjYo(D&zgL6B^sIDoyvazr22wrpaD9`JGKCjTanUN}0?fLO;i4^j* zE8DMwd7`6&t2T{4q1ozk{5VD`8d(Qx7Ul$I3|g^k-)B{wfqV&I=@f26=i{*|kh@}H z?2ZD~;F2E%Kjc%Ec0vw96UNq|mj-g;`|Aa3UKiD$BDPBaDZ7V&BGPn?KGpWweGJxq zJZt2Q5Q#f#Ec*2?6N~vRyLLGvVIz_oF9nyQftfpItD$f%(LOjFU5eRm$X`fFG20q} zwrd2m?xsrDtc#~@s;E`wpoWVi3D=BZylZCC z#T_|lD8>lW#q)fzw*u9@glT7w7LRFMej{Oecl}KDo;Kw8TP;qbUte9Rp z4LMT-Y-=2tJ;Gftv(O{tE&|a8#ZthdEqxCfFK9{dI?XSE$`H%sY{h0-uLF7%(=g?g z!NEnjx@V|mnrGhxY>e4mAv5rV_cn)s*OAhfXD$n+G>6>e6^Rnai&aAUcBZ}+Nj}7O`YC*1 z8CCgwB=@5XYN4;*g{@NQyF$J}8jFE{xDrq9T8w;BOtqg03N^MROzr*=lxr4(|$NCUTk@s~>Jn zP75Kj@-~tp;Mz@?VIi~VcP(a}g-e_g?EBc><6XtdfrnV8*CSJAtG;15!mH4Y-&&1( z*s{W<7Apc~lKHYKTL}sKDqjf+^2TOrCnANj;UjT`l3PNPFu8Z|PN6RdQ1B+JqQW<9 zf{FAhw%LwWGOpfF^f)V`jj}qDkn&}`=kbKRkFj9IqR5}5WYfjuc)K-sj7Ub4)v6XW z6c?AqM)Z>ru{P5ow+7$?pB;)yR5>HOf~{uC6 zyKJ!C2mKE&0_FOS6PhIa6F7)+Vp(egp~Mp>im zj|GveZS+^m9!wp(FN4Wu;PGaU#Kmb5fQu7)gk(zfa?lV+q48x8s(wb6z&yN9qqTbgRQzWLUiRUu`1w+Z~d~ zmHm`7k#QgBKgfwIB_!cha)c8xh*x3WE?fO0lPKHEVrWdlRJnJ+yGGnU(6tw(28jqZ zzkp&LDN@jWNnBZ<@)DaBy#D||&LeqW(vxQs6+dK)CD@u+SUGr`dNYtm{0pg{K<03w z%0q_V-(tf>HGl4eCT$ZKMeI#1ux)uLzveS7=8D<99;$1S=O!4%8BdhXLRq%O<*$s> z{h0<@*^dIWzoBHrF7#7$Nbo{#DArzMEUAQFv6E}e#$(9M{R#{Vh&&~*j?N9dI**{5 z#pAEj6{@lm7m`7V2}`p+1l7sX%6Ma%{fx6qwaQ~=IamZrLc0D8NK%5~u`DG~MYL-K zEO3^krBg>pSm5M@m02$g4*BIG$_oP!U@@f7*rU2lmn6npCU&A7Jy0a!CX*CHMEd@K zVy^RFAW@!qrHhd)MACvohv3jgkyqJG-9+oAOVDgrLngia5B`m*DSn2gc)0u)g7OpF z8_g^F9>OIS}qjM(l#Qr zYZ0;TiB(Y$o=s7o0&l?Edl>2x@FxV^y&odIFW~vCtzgJWU-~|v;c1PYkcP|1$k=z* zR@-qQ2+Nxjf1uP&=`YQ_l+E7US}n;7OM%|L2JSAoFu-J<-{^*-*uPDeX34^Dw%cNS zbC#?7WHTs&sw_$5U%?mv;MWb-}Ju^6TOK1LVytn1Z7N)=c9$M0@ix8=b)jo{YX4*F{FbE6Tl(wR4N{i2#M z{{To;G4!`#i7)aRky!|WMG(MNY3xL%bc<8$IUAaa-mpLF2H_e_4gC&QxV#hq*G;v= zOPz~&>*yQ^kJ3iJL}m3UCcBrRP|>q+gsAYS7lhTlu@^eFSqfXZxypz&Ob{Dbh zXNcu91~-ns_7)#DO26HhrMu+A3cnb94c^YycLZGvbQp+Jd5v^5B1Ucu=b@~zC00dS z`XViRY=0(g0_7jj$jRjiAHfF2%SDEMZ*Nhf(Oz}>GZI+RS@Jl_nHx3hM3pQve5WN*urX!xKUmDLvVW2q~CmsxE)*j2{tk`zNv);zP?)WWZD(6 zx9LZFp2XGHx{5xGyhB23CQN$ddPeRKSgHDip=Kti`QU0jSl$6is*_#UW0>=|A+lDb zGHLG_$*k&CA|g7TTJkart+laeG?oP2nfQ_-rfHXq8kT$-WotSBtUFX_tEb%|J^~ow!OzPDP}54I3XQ?6kXx%tP&|^$5r=5vwuWY5L&2yuJqKZiC3%?lEd;U4@n-Cl%s9{1jU)aJ5JN?4tY$8;zFnrg_rG(G#4( zOXbllxB(9-6+DPcdbqK4t^MredHz{q>3sUHGxgjPnkaa||KfDE?g&(8(< zL~^j&`xwSW8ad>A(^qnNWm(VEIC=aD`4rMLn`cANy{g=MXsd*H8$nb2p5Y~NbiyUKgMyNf$l>Y#-Y6UQ+{&q08zFBbg5qnO|`lFzd zYn^x>nmko{@i_gCA(q%{b2Ku{)Zsh+K4u zFzA&K-T_}9gTQ{Vd?cN5q0aN6?x%dQe>uS9tyTT$C;f?VL*S+B)-&{83cjWpFPl)bXDfT5BRG^e)aU`HhoO{{TqF9@nAn;xm|c zZ;C?0W=X2%IeZKvrr>RNdHE0@o>OTfSouzUlX~ST*qWqfkb|Vf*1R9`DC(+rzR?nM z5ssEz8KuH_;}Qs2(u_&IJZ!0G)*i${G3u8}I5!ExKeh6!W4YE>DZDc-XJ+$b!hSSwCuV^%?J@g@N z%%Rvjn2ThNP9{K6SV|_?@(zp0hS8y%Qm6(tp$9ERQ zF}w&gA7ihJ)fk_!fEn2`7~Z{gkrKB+0$(@R#EBZ#20c&VfFgG!vBO3cE1JJ^ME7(EY-s z=F7^2nBY(lh4ei5YT>in40HPNvy`)_n^Mn{U) z#&U%eE;MZZqnh4NAzg7E*^P8sS#G4FUp*~9p%rnN{@5-Ng+BK~e=(hY1?x9$7tp@E z>`_^D8RCT<1xGp)kT*?;M{+hwS4f$q@{t8VHTl;=-afqUJ&G#wp%$Ivp;n(Qxu%}p zv|rWj(8%}jDI?&)-!GA7T9f^O@>Lb2yCN~Q^zF9d7=`;n(&)}JIl*C99Ex}yh5Q;% z%1l2zcYFF5|VKmhQla@s+k7A)bf;5sO zmqH}fqd&FdpzFpF#j1^)Uj16k5Rh3(3&8ZU8FgP_I>yy5YKU8z{>8N}O(vg3&jIT!nUNX+ zH(ZrzcJMnkndo7u3oLo;%p&&roUVk=HHk(=TBT3mz#%4bY?G1TpP|M@?F*tbHuzNR zGsx1}Jf1>bikBzLkz8FsLepdmc;0%8)x{)xsN^Xxf#N z$xe)1LTT7qg0kDzDit%lzt|Vl($aj2St7>8jkfpy0LfrXcm`I)n6t7wc&oNDJIs?( zyjAjHBvtO#1(zs;N%AD3%i!8f$j3^xg*WujjVh|#eFma&VZzy3Msy=?gcTWzk$|f_!#xZ8HnX#!(NCUhX z={x9I7dbn<2ZUyWDnq6PAhKtwTtAVFmXijz_GiqpCT_P^Wh-f$a`}GDWY%3C(3O~E z&E#RP;93Es)iNfX86kmWpx;Fjxy|?!H65DfHbv;r%XHKyI(`QAKfr&nZvn@C1Sv@MSECWbc7{8K(SvFcD zv7)?*l33`&a4PPlfD@L?2q*7q<@1B4j1-D`7+SULY-n&-?1*`Q(44=7EtatU4IQCe z=t*HOP=cJFL*{9fGyZ5sfl6HSk$5DouS>N@(MFh*=|!`UvC)4+3u%l*xe)EB^(nIJ zR8zWa67Upj4r>s$BQbPu27hA}1b27?L@x+rLrsnTj1mSaCN!1QJqCLbJZN4R!&mYP z6RVCd>~0HDN$tX==9N!1oS|nctj5#HS(C~({S9cHio2CP#N<05J7e-|)T`F{sOA*r!RME?K+f2zrl%zTVk^ZOVVd0=Po54F44 z=KQnR#*v2?DvX-ivgM-`gt|yv3&UddI_zeGw_;fwaluf@z^9dhnHVP4*wv&e%karM zVqjqs?op#+#7i_5@KwnemLZe6LLR?*KhqS(2hjbIdP1d#*=AV0ya`&siKs7sjIoqX zL0>H7A*8m?_#?=vR9~UOn-_HA>`G_XBNs-~$V#Iw@VA?>Tw98!eJtz6WfKjcfy&pRjYz%_De+4Hh%Iwc#q!tRE2WmNtCzA4h6r?QNc%>2; z5-OQkrTj4ubv<-vp`&rm;}mM9=#?*BkAq4aZ?XNd6j()NVPwhP%m%a~B_iP%N+eFW zVO#8NTS!w|V{kaqCdr5E2jLFEN_nQ!_&4RE>}P_6-5aTUry_>%zv!<=s5;E{Icl}p zWo+dw2yVXwH-Ul692SI%(cabxyj_^;DrxD#u3ka|{snMy6l!}jh8rF>Oa3cIJt;dy zlR{`@RGH22xG!AoGrw5gKd3TEb8%?@0NzYub%XSb)kZe#dL11j{{W#gpY@UDLvkr~ zq)$gCCn}B{zQl$FnW>bmYJWp~$@~iajZ_JVNhKecDyJRE6Prz+5A1)sVxv2)nBZyh zO~stxeyU4~KDr+)PD_`a-UfU;meLGZA7u&S2N0e=fZ%pdc7~L0-ui2^>cOG^08JxphnCLPw_(SG zGATfxYa~P-Hv9#6j^H=I&6QNF;7`~i7()az#_wVycFskP@^FSzh@L|)o_rIXWyv!a zH?15vFqI)3d>Aa4Iw!2GvgJm!Xz*!i3sDn+J%rN4XOSx% zw~Hcfk*yEp6W~5vX7($LAzcY~1eEYMpCfDg42HA+08W83tsCV1jfU~N=wwkm@Zac~ z`4j&D2#F`b5ZPGQl2AzH%m?-@7;whh8OoExayD3fDr;E@Ebj3Dvvq1!zf0!x@pJX{17PWqL){?(iu4}v^G;P;9naAsN-TwhT)Yh z@Lxg+JrI#()((Qa;9|ktjvoI25^5G$LW41$V>!i0?Ee7hR<=-H2;xHrkybz0h$h=* zJA=d$o`r{!){K#%n$XN`ile~@(~tBd?10ZF`i2N={95{!-Yn>l;3Uq)`xw1~a$furrB%xqqT{1#pMxtI#?|&oPEOyY1pheM)8m}j*IBegV$q;;g~d`lZEXOPRrFu=18zI z5QZyy6Kj^VKbX2?DLko?rIX2AC6DC7gO`V*n~C5{&M0dSM%lP%^!*7|V4V^)@z#v( zHOCki3(<$?8q#i7M`y1{Pc@GPT@zR~HE4e%KyGcqV{BcR<2{^$W{k0XnR#3ZjU%MvTN!P-Y4VOzOJSiBG=ofZn&fEZ+8b%UGvx^9a%3JFuAw*j)dJwa zR@p><4GD9Lb}m*%ZXw)ja=Zx;o|0^Cy^Vg(6ze8Qhi(Mnk}2PN7?3zLNX>^BFOz{V zl`SqTXZRa7#Wik5ZFCY-@dPUW00VMX5?~~4$saIlV?0Ta$~)wk)QX!g3}-hJr}y?L zE}gcM>{sI9pR=1}gl*Rjsq@zQ(T5d8mIF6c+AZ4Slc#k2G7~#7<)af?F3FPx&`O&x zu~zS_BKq(K>1Ed26ETD7M@gzj-p#?t!uS#rlrm-P+XauY=3?r==#UnEb=UMgOIc;hI+(}i8svnCQregsA446urApjp_7@ESzt@Lguy+-4!j;mu^J=M z6ln?sxJXX&5iBhQ8_?ZosB+f-07iLEMdXH)=xL}oX)}0hBTP_&ncQ0!oB&lTw4M;> zCbWi<-z72Uk&uTxX_C_HX5I9LDXU%2`ZmHQ;=kQzogJpWb}a`?4Qe@Bm;Q+}2Sn2i zdNo8;@W$yd-YD_U?0yZg+S;C#5^rlBMQXQtIJ!8WW7naQ^CwREU7oE6m(b|KLkaT+ zRkad*h+4LFGIOlY(1_GV@IHmhjvhzn3E+%ixg2;tS{a`sB9VFw%)l4Q%h$1j-K64t z4rjVF!1)k)2*A3T{DcyMZKPU~^ktmwdJVG;;N1drz1rauF4sFDkDu*6Bl3lf5PC97 z{>1G{xV^02nh=t*JdGZr5)o=GrzZkwy=5+C92!WaZTrLd5LMxU?eAh+XsDGe3v5eC zEC|V#$Xpb8|Ygx-{4u7b?U*6QR^%}ALTMR-BIQveCm3zH4< zWm8@tq>MF1$>EC}rYfMz6+A8mybUCC{iSk3djAPw` z-YFw(i;U<`V|7{-z;aZ0Cqs&B!oNc1QfSnnSHmsO8XPHB;F9s)<*R;nj=&^ zJhC&XYpM7mfZfR_!cLimg1d$^oRG&GM}O9T{dgxxHpe}rM%R;K(K)AUk_Am{b>Mu_D8%fl{v(@y z1m47aJdWBPn8iXGVq7w$yEcf#K1gBj0q*QYg0Lvi@x07_SmwkT-;vndCgevSJ8zN{ zwf_La1}1f+ux|_8dSjDESR2zxWafDlvLf;<{1Y&mUW>er)e_O|^o??RW-k6Kz>+h- zvNsAA5V51!oXe3Wn<+m9a*(N5qwu9NU#H?BaiI@LS1s)0n<)6A1cCGiN+9JogaOJDXP#g{GCW(ZpK z@IO||$H`tizFvon+~o<)iF9|_(3!g~)2aN3T^2IQYn<>$oCV8of6@I5*3h=x)iG1( zSer49*zd$@%YOP2rqb6N{y$@$qY34mc@(zGW#sg!4_;K8WuMA_XBsrVx%~*uGu~hI z(Q6NpW~RSGssxi>2@gy{WZF^Z*|x`g$A*#*Yw z3QeI@dmkP*t*Ur2Fxk?7Mh5L4z{a{Nl#1FhVKTZ~nqnrXV0lv__3Wsvz363O$RbiR zv6^D+$*eC0Ub9Zc)rJn&Dg2ji+bpL073jNskW_ZMQF+G8h_-X;vak1{{{X(dl=if4 z>y|@l&-M>_j2G^(ekLcvKc$k_8NzbX`YTfz)Ah+;N)bLk?nZ_$pCv_2egcfS8b^ad z8XBmEf3b5NGHEqSzLmD$kKl_q+-PC>t7@(HP_o+AQL4fis3f5_=PJKcyddsV;g}%x zIchcN(;QqOzdwURR#CaQ7JZ>sZU<0fj2jXYd27Lh66=xuw!4wtn*yP2P;AjGkOwwhFF8v6Mlu^9vq68gNh3}xHL+kG1KEBt;Rd4EDW16%UCVw zB;Y2}!V0<9lMjNmH`zI(Y3x|KWn3pbl$=A1ucF8NC$Z6tvqpMH^D%WX5u{{UZWfcP z)P!+U6;#9ulZGZ1@Yph~yP0B(dd_wI4p#i1z(N9J7(uM2=@H}Gfs9Ks(i^3Ysq6M< z61|-Lj4{3!{{TWh1|NR1{{Vuhn3#0*-Jb<0`^@9^XlO|bTO%yh`WY>! z3eoMIG;zvn&;A)nJPH+btY6O`8Rr=t%};>KkyXmi?6lFcX)j28xo$SeuC%ANAO!ru zHz2Z);j|4*=ZY2ak7K_$%herlh zRe2ET^7dn8vzOY8>eC{r{{R>4Qdw<93AU4UuS2@^J|D%>cUSr{ItDvf%V6Q^vi^&q za}sjlsNMys%>!rQ7`D0i;^dH0mL@Z*+WrYE&PH?5jJjeK;7qX@+44G>9>C|JRvb2z zXE_`C7DsY*xCjW87SBd1x#*Ltj8f|RAnh$oTw>yy5X7#MYY65{`zu8g&ylUWf~n-# zxEX@|m*6&x#csry7EvV`Bqgd5C1Y=5`g0oO-z5{G=RJ~y=EUscoKwi&ZU%<{w6=AV zO>rERQWaWj?4~$2sG~+F&WxQS`U*C+&|_$rNR;SBU1}0A_p;}?OhIj3`V(T3p)Mo# z$+P%Xzc*CLXLio0e&DD!t^EkU+BPZ4_y>Y|@XCu9g7V;#jhu>5%`bv%&xU`(1k9&f zQ_lu|`li^uY2t#2*`t_L8g(}NdLN37A+vez0V;E+vlC05AG7Go|-crm!nfbv(e9$gXKBWhmL z!48MK6+MdPOeba&;|NV2u&2TvaHS0b#GUn3&(RL*S+*crWMu4I)<7JTdoU?}%BD+}jo;J{Cp9*01r%*rjg| zvkB3WIOP56{gn!n$!KQO(D!iInNmqZeO3PeN4aNVzoUn&>&um~;KwzC&)X5GFa325 z^DbG6C^P9N)rvl6>B!Cq`9lP-AQ|J*qqSLWkj|z}&IO8-#laK` z(lF2B=0nBMrHhpl_D=++h&my1gI0p@I0*|c1v2@`lff4WWtoO%A@{)un*~WUA*nJq zhKdqbv2e(lq{hUdp0qYT&)44{9`+h&7kAF2r|mQ>J9f{{W+14(YRMK{29W zRYykIw3MSc!B8+kB0P1;0 z>4qv#lKU&u)srJ~>8HR|UQxhOb6aTGBcm;ejfEo2f3YAMGvH$r%jj@1kjTShcLLdz zjAsjn?Ju)6IX5p)vum`UJLXipOLsvrJ=i(d=<6Uir~>}rw@D{ zHALEfW44~xRIdF~dznwSkY$qNhzkxeK53I4xK>f8w?*s3s!0C;V{>3;b1EY^>4?^%qKak2Z}$fddNLy=KEqNY(`0IR=3~Ww3~T6m$M7w5HhNu~7;l^+#G-f|JSP|YLu^1_!E>9zeNQOJCdFeycIkbY*Abb8yMbD)s~Q_ zh%aLg0%i@MN()BBs&Fh$&{pz<*FtBt^l)$ZFb~2jvVO$ZG2pw>F?@*EMCd<(4S&H^ za9x^$tZ>SIA{#lZah5fZ$z||1xNO*~>|gFl2VN1CqR~%Csju8@b<~B_j!hNCD>;XQ za53y_g-Nj|kEU3Xc}xRGI^7@i;>^F^*`D2Hrf0~wjOQ*aMeZ6n<6^1}@ZJ51Of}X- z_$Ks=@e<|(M@&J38@@IK#{ z^gS-{)$oMLC0!ubLl=iAZ@aN!@6f!4CLu9x4=QCubV(=0Q?DZtRnoQU=ve{b@MQU} zSQ=i5w#oeuJBWBE{)RU?JWKqBa&|F8Uf}N2h7)Pb6-QczVapuY+TcwGlY+8VVGRe! z*s&V4OZ@<^Kv2Kz#0H|p7-sflX-v8*#kL#4>CDLhr>3c(>eV}J8X6!c9!cA?Na+`57o#veBjkRkw~NxE(C{YKIluqgFF+YC*>0qb7F6Tj}q=24RP{>+Y;v)I8HF7 zJOi4?BOBJtSKvpaA&7*J1uv3z{{R#0Nv1xmZB{2Umdkb~-V{fWXxmTV$3#mo)=x%D z76nLEGSRjmzF{Voa3*w|;Cr!0)u5w1{Y}QvA4Kwor{s>DBDqA z*w=ItGq~*p6O0`UvytW9q@DUO&&KQKdJ}1u&-FnCy4qUfy_4{oD%nl-@*Ab|d>Ev? zn|+Ve{{ZYxRGLfv2@|~dze0z`DN(HQ3cMa&dLYJ&32;== z1H2%UVmFFBp=^-=hn=#|9g0aoG?^iHz~s#HD#t?CvUb0NG^8}xoAx6fJ|)ukN^^Iz zzFYVmD14{Ax7l4U%E_PN{RK}%Y6LafSQJJ*5oo#SVwjayQ;6IqSf2JXBWcYr7}d5& z?chqWG?ZFGlEB^$u}DOkN<(<~&d9Q#t0){~PbD?M{2oU?6%}j9(EWl57Tfa1%%Y@@ zkmDCbTY;wZCMJ)k3^=*j%qi)qtUlk_IM&-~{fsqgrjPuK^YoT@UPrerVV4&>@-Ax? zPKh1z#!=fEUr&Sy)bhsX{{XSC^Q4}&l}7LtGem3=Au(*dkO7vt;C`hl63!6#-DIZm z_5z%iY{oSj_hx5^CQ)-1j)Hdf2hEAO6?5>_UjlZ>*=@S(Gog^`=xsxGgl+8xlUN+= znXss?!rLn4)@i{8kdA~q87jHu`tU(n6GQhXlYA^>7_;E%tL*rT&!QFGys zb=9@5m?pF-5^*(-47b_s^2&L8H-koOYM7GRWMxtOv*^ts3>l0|2trk{IU?Ezn$J|S zjSuXf^adH3j6z2;8?yXp4ZC#0Vu~%Dz%9E@1GCE1m{n;s1*#7{rr5^;p z;LB^^4&QcX?C^AM{{W(urJIJ6L(+R`v440rac7mvnQng!Xse2YRN8tWJQCb&ZF|!y z5}=-afRT6Dw&a`Z4R)}E@0;yLaUmX%Wa66YW&)OggmPktf05RqA-+T&| z%A3ZJ+sPSyiqoY;&Y_Y6uLTT)6JR5!#*)c%_O&u`0wHsh2s&s6B z@|YxZL9r|xfjUt+ED8BVHYHC+4T+TL6GW+z1sgjUX|4x6bY)x%Ny&?${S?|2@Fz_S zTqrwSITY!LZVM6fZ) z;$i!kZzeb}vf1S(TI;3eiz2-`c*&4;)hw_!Hf(Vi-PP|@RcCH?V|8n3i8bWyJzKwQ zbeaR35v6pAo~2*2AhMoM*o#?&i|it`oG5X^7`OE(+YmQg4(6A+D|9BR_QUjheEcw& zx|{z1_FF>SytotPSTXUDN{#Gn>bNT6D$~I4Q`@47GJWomJ-+G_?4F?8qz(I`;@e(+ z`VXEnBMzV+gog6KI959FG0~^eJO~1$Bso zo8#Oxo5b@c{4;9=QXX;>mm_1v<^?Fl^um}e?rc-Lnp%1q+S_LKBV!7PW=8)2^w5?t zRJ;$bWSu`n-;$-xW|MN6Brex3nX~NAOq_yEB--*x$$_dF*lgECm3)drxlRpbR2s%? zj%d?B+TdyUV=auLMN*<`Rb!F@M0`7X=vj2!5lv+!{)3xn`C^!mMJHr8J&_Iu!CEwl z<+0XuC|8kO*@$HnTbC!Z!Mo8&A0hN`gaNUvGMuU4_M2DO7E@ zwjHwDJkV5Wnf6_@5=6(4F+m~FyomsA1BvH26VE){AvnhS7?lRW)UTb7v=?et{{W$? zqSq5xKFzcW9n+85pAk}Oi zB`<0o>@b5{Yan!1oK}QnTnSJX`3S{{RG{ZWu7(K`F5&^8~mi9@`-^I7te;u{`Kx zYsjvd5?EOy6K+%_(#kR9#>&j(NiAQKYsBPi1D-C@PZhal3V|!*_AtttZ6_XRQq2NrrvA+4()@4*Yv-Dzu``*zT z(*c=$39pe)2H4-WTWO`9kgoyngE!lM`WnU=f@Mv?euo{(+roX99r~nhUf=M_i?~c^2==sNUGoNM5QH^h?SuaMGQOLl@mdkE6fv+j0@MP_KE(zlqecjyW1^-R911t4U9^ze!ZzN9!1CX+ z6kkH9he^uwiIEMjtAggN@+KqmiJ^F#Ww?39I2kOD!MWVF`w%Lk^n82>M=U43AxWc1 zIBR$Pvyu=Hk2BID-6N=tE_BDBvJTSCni}j)bjQkviFdzD%qkO|l}!32@0YC2Lz3?mLlhLp2OKEGnb3s#y?Feo1_Z z-ygVX!MxFYL2xk%v}Hm*Mc^-DU5^19Vc=H`Y08mWM;T;n6XcLy8kiAKu>^;qQdtsn z`Ww_2(K#0REA5lXA7k;cSGEbz>cFhtitxEIqrZVX(cN?SCj#{eOsXdCb~ij5)Tgn$ zk+ZWAj}*ye32fAlAE7_dhQZQ=0B?uwo+UNVk7(wSqGG>0PkszY%Ma({7Y3)GrBtXV2mQ9V8SsSe%gzYrUNp>Mfp$X(>mMRUH%|bhaF#;vqSkw{+kqXLOp-&cT zU~H*oSDW5`NS0DMJq>;WOGa!uQI1Jd8#TzjL%k#_M%lX_9&NfQRAnWC5vM^KZ!3$ojHxhg=L2uD9&MkRDj-j^M{5jME}c#Zp~LFcwklqoFB%uWzrlak#UnN6CZ4lNfs7_mn-mVZk1#*9UDy9 z83lr@i~A7~iM0gNUx@zz==?>%gV*3n@>=*9@erHgf-fjQ;zmixxIf@aP0+psZ@~#p zN1~X7o(x$8mmC-w!I>I)M)k;@Y1BVK8+d<)#>JIS?3gS1H=koIV^+UoCu&5V2-+2J z9!P5}WXYB!pDkhrx05oL!R!+o z$!bzJx6%+_GtnW1b&m$NBw+Rb0HN2a>ml|!OQSMAgdy7+>+X(flVmA2(iSfR3C;>7 z2O@;>7wFG}7O0N-hal*>Z<176+pqrslV)8zIaB#5Q^VDNvZ}af+)MWUNv9}HT)G`a zPx+$LME3MCz#D86Yo=DRfvYlf+A&dZO#9fGy&q~_laV~nxTftQJRIP|FhcfUb z1=E{r37r%pQ%sYmE9 zZSzA9QGtgiXpvX+Z-tr!yy(cMo?x227dYGaP>mzpayr%C*u5B^{hj6%cBug4|=yg%>ev?@;{{WOm?0P3d ziFy{e*@WvD@xigTfhL56HzdTuc)c+O_=Trab2Mx+SZ>;yoH3X8LsdKo`5L=8IpvDe z_3sa5w0mqtUgE~ZQ$fvZgAJ8&x_I*(pqyO}5_O5hXFd#RsMNM;ope1Ih~JaKLolDt z7xc?Err_0jH@{`W4lQG!@+ccf6Ez&9ST&WulD7U13kV@ZKf8WLtVA>#h8A&-i=>=n zba2${$d-(nVy*|wo+6vm*s;;nMJOeMY>S)_mIOFU@TAi?iJlWNl8}`T6fwv>R>!i? zn};GqOMxoh&m>#V;gQh6Y|`Bd^gxp~DJm&9m_3eMSML{1Vn=ss^ zyG9E;I~$DP!7H(X%E9C|K9e;nAfcOiqfTKHsO(K!**+9WCL^~B!pw=1of>_Th`CI` z!+SKxUZ>)u!i(33u+i=qCE^(Cz<68W)k2xXSnOJW6)NBS96obT{Wd?%#I@NIRwiD# zN0AQ=k{#&{DvfsSmYznX{wx-q?waBKl^)omY&G<7d4u)du|I=4t+f6_+LIbzWTqp< z5B~W+A)WsKeGirjq|8eH07Y3@!Yx#TS8acQuFPe587tX#-nwT*s-pa$qt@=6BSACOO>(9}FJC`fGwSYd8DZRKG%@-h4tx zPpXl-`z)STH z&`hg*5bGp4B}Vf`(ZQqdQB2&TJinop1h4G}W+v|Q^3H2;pZDa3r4lkh7M5a3gq~fy zj+Z^sB%XLHcMOU)d7Cb`3#{;%C<>O^xFqmHNF^&*k{e=N=3|SNu0OFY1J$O+2a)6; zpt@9_@?|@A*}7m$V@WiQ^gehD!^}8g(ul&!jD4kn;!Q7`{i1WsvqM*b$;P%R+R4q4 zoP1V{=&IXU zlILuR+V=j1=w{9YDFc^HMT%W{gj;&U26w&PdMU1|(ANmN2t~{34 z%73BwqHLAL_$Rn0u+O<8BFd463?eD2d;W_IiDbe_B*New8)lwxECnlv4m_-$gx(=Z zYVkYZYYh^aIrMxX;R-8I@v+t@g@>g_haQzTNbI8Ur4*uH{&Fj1o)T#s)%U+<>rsXM zSYyzHhG5RIw_7>bWilIdWb`xSV!|Jy^n}7aqiu2}{ql`S#lR6P9|85QMe+7eNz3_kqc=oDQTLwGpkcKV#@WDf|oL zL(UkelO;4o$g7S9vN*`PY-zR--VwBXiXiD2a8ts43B3t(LwgFO*m?OSUKtWJR{|&9 zJ+Z7f*plV3GEmYna{Udgp<7Zx*$1*SZ#g_fPZ1H=k?jd6YZmjP3$r!sO`{EDqBuM_ zDq3aYwoY`$+~CDr&*OZR`Bbm(I6>6; z-{>W^a#gFgKSg;z-11rNvhl#HlsUZ3X5l-*FJc@}VuE>C{YjG9=d3whbxw+#t+PRV zNrmRIm?RmepM*CNox_)cb9X1hv@+kS-`J4wxwy*gbyj9i#xn=c5umfFUTP(q618qI z{Ru<+D|fmj(^(NA3u~k`Ei?~AU*F~6$9NZ1dz6&ZXQ>dSU6svrchQE;YE>+>ABb&!Ai>7Bz9F*0t{9t+Hl|Kk~Qr2_JT$xDm zh?6H^?5@UDO5EBZZ|bnlb^h{H`rEmDh=3+hO4WM~>~wvFMl20CmK}m!BFZrIDYccN z6xcr`h;@i*GpepNgMIAcmEK%rOsfJlEIxe*D@yRkB??F?w?PQ%-Xh>#RJ-Ts+Up=p zhVVhnOwEqR$SUl30y5aU2}&WZ#0-LA8I>PwfZVQIA(#z)i?JJ+7~oRy#Ym2hjL8v- zu!f-PLsE=(h)h?%!moD*QyhPfJ%aTTkjpHK{t|c_I~QnlrUXr3UC`3X3&2he^eM{` zXoyWAWF+`yZ(>a-QpfC#5X8eXMwD$ar1tc5q&^6mjkI`HZy>96KM;>FPFi&MAAiY* zfoWWoG=p3inXERjGfIX6OpsGiI5o#GYIc(ak?<%wHY}Wxw?a?h8_pNmox$YuM7UD} zR}^tLpC$xW6*b9)&c=-)rV5#)Ex)2>f;<>P)TfK*$p$)P!s-qY%JAMe5bb;{;$u}9 zhqpiO1g?7j05mm?UNxwJjl@2<6qFfn0z#@b{Uj}4;Xm|}VpJJ%^4`f|k2E#lX|;co zj|DuxRBM)hSLi3@YrF5*n0^9{uuQZ#91A3sR^ErURV230WpeRpO#cAsa(XAWy^-`uA0frz(FWvUU2Zd4Zh1yn>9wGn6X zBidHjn&BASBeHt@GFF%2XCC1ZsUMWz=*&*@uc8=mBudxtS1d}FMH(7wVKY&ew2yHn zmP%x9E@p*FF_;v?kX%6tQrMq_*Z%;6R>>kVx+3-`SsB3IY70$W6i7lmI%59-26lx* zv`4^(#=0`v9{z-hV2B#m%SzAIT z(b_R5MP7b|B5h>HAEI+t?GYWMdWve?DseJp(KF=m8yHp)l$DJ}N!T}=2Gn9#z?@oa zY3l(Cb3O)==jsW_{!NcUuBmJ^hxQt#pz8Z9XPpjmif`!kuV`~sj{}9DB^4x2iT?n@ zL%2@dPoyndl2DNJQrZ6i%u!X}gxngb%OY{{21y|*5w9#mR@TaFOqeP6Byuii9+qIT+i5%b2d|J3jCQj zw=2tqvi|@fI*rPUO&gVrCFyLeMmMOa+1g(1g;gZnN!*@Zvp*A`_=$$Xxa-wDSbE72x?U z*FB29i}LsX0HQ2=fb;Gd$N`ZTO=O6=$)X53HOc7K33w!TWl6++g?k8U(%^)wZRTy3 z<&j6UHqn(ZnAY25mA{GW0iXNW{7Xe2^oQWDeUszS2b16`XP2KV!_b>U2)B~*(E$TI z*!a(DME=DfUJ7*#eTG_NBGfVM_+m!+8t!zw+B(}3wZN&9-Yby$9)7IRN2Y|KLqV(Q z4l7MHuS`6%yfOfZ%MbClYLgiaf+h}dv<+neviU)<*qRD9Zgx(jx(@C{Q z=v{~3BT7l*k-OV;yjYejSL;B2un&}c1Gf#nTB*A&8NF9N0hg1677NTG2 zA5cvE_b*W5iBOI{O9JdWGGms2DqOrn@@5XG)DK9Iy0v=#hX&nv586bt0m2SdI|zdB zS7=w088HKT!W@_aFYq?o@Z^8M;>}pZ-_h%%oiU+xEY|-3lKm}-%i_Auhf8kCZ9n=a z<%AXOC&1$KA|1LHK%_^K7@09hL~Pmcd09*qqYM!oILDI_U!dg#HBc$OP#ZjXF8 zycfyXDpj~-bV$pTnB2u%t_^sso1xH&FqW7!I1-B{%|l(XU&zZkaa;6laJHijgx&g) zQC;@wT!W<| z!c8aWb%w#NmB=a932M>swpALhvC6pN{$Y9bWzY5%Dq4bHYVbB=O-^PP>Ii9?>`F0# zRJbMn#eBcTyG%od!ESYrY~V@|mD*$;tY4%r!D(@Sl%!Ft?D36>N?^Nt6IoqnUyL(a zNNXmQus+(0v+m6kCTe;AYDDT;B<+q zW0{Asa|`AH0grNSN-C*-sW_71pJ_rcIdcfLZpnhZO zga$>Fv7z!l(M9>@wm8ah2eG1!x6v|gdv;$Z>0uZt zz6`gMks>)rZt{fS+ah(?nebOd&%s!+&jV&)`w)nE3S#bvs|WNohrcjx{(|C9TM`K= zXp<}Oxslk=zZs#ogdvEM<&2)i2aQI|qb>wc_#9YE0$v0<88R!J3_k?)WO>igriU0d z#dO%x8^H2n_5Bx^hw@0h3Fr1kt(Iygk0j8KL?qnQ?%VWlg4B|b5n8f=bL8RJie2SWPo${9jmcr?BqGP0>esrX{ zx4^?63}la@9N6hrBbd>J$#x0uR&_Ii(l050fzvQh&Xvc^HKO~-D}irj3^vI{MoVl( zWj#HNr|doCtI@Ia9fhh$F>1JW#~(Ee-5DLjg?MJrgiDP$`SWNU$Vy)TA#1rPLvXC$;$pv``20QZu)7FtQv}s!+%4YVVJ+(h8G5w zhwCLS9uq4IBJerox*G6@#Kp*0>KEZ+dbq2~7requU5{Rm1T&>J+Z%oGRuaLU;a~=Y zDwQ&ezSXDEzKrMCwWq-ig{*RjroOvcVpa4m!fWfaF|Lj4cp8su*MWAkDz`9GArdn^ zE<>;7y3yB5X_GNID8_2{iRTi?bkq!|^8YWnVsS9qH-0H_;*qT!} z3@H3kAV`46~VajHMj!3$D|yq8F5rBer)p0BHar2yf0J@H^i4~IJiuzB$x%x*$nV&l=_*%*k6`8zwA^rb z0L}1MQN*(rG;(BYpvILL5i9%~T@Kj!w#BH@8%^6wuaXPcWwG3(76!O5WJgjO31F;X zYiJ>hl`kkF)H9G$+l4oM(bQD>lNv<*?Tv5W^4S(={@L=(Q`5Y3MRFcOmQxRW z;By(C%6uk1A|0A5d(T4p6<&>vIA_@M`YK<^lIhb~q&KoPtWjzgIwzoS6`rT1Wj-;Y zH-qFV-WbXcE)o?`u<`2g*xa5(hre$kCewWD`?(eob8^9ZAZo5@_B+ktu*ju1 zP>no_2q#y&{{XQWu1uD}FR3KrRe}by3d%0FHo}#Ur4308ks}&qR4z&uplGSs*sIZ6 zNVkEPl9NRkvPdjrEMql@WeQ(xpHZJT0SS(`xRJ*iEAxG05iP{{Tm)qEH8ot z#KuxQzk?HT?0OXiZ(`G_Ft`)Uk2rU9e80o6J;pmuUW@hdp;6}HdlN&*bAdIf@*uV_ zTprtrnY$9+UAKrhDklX(NA*Awr zh8`qm560nqkHEJbLOqzg3b#sV`WKL5awA7UmiQ6ojh_N}A(w#gG`7_u?5P{q;&Xd?H40I{b`o zWx(B4b6}HW(#Z2&o9L4@Gr=O$s}P=lvZCuSdl=t#eM4W!M12W+LCwsE^n^+2nMLRWfIh;vy=dkrzxY zgCz=RSMVX$*J|idg&YpX(}GNK_!&}hO9N%GXp$e=N@OXv?eaJ+SyN7?yF*j8iR;Ng zmch1q?0pnn2mb&D=1~|@Ux)BJ2l6032gjn9S@Ohmp68T=_Zd zV;;C#@I&lxh53AnD4%bG9PRR&APc_AO~{-@C{<9bRZo?MG#kRoS)(Wj z_J)A1_$O*F!QL$qq3B^@iQz&YGb&NmS$w_v60QNo2+~MR$ucgWkD=^*izB84;>r^O zQ9>I(@WEQ9cu-={?fr|C{7kug4WKI4nFj*FPShshSSz7*iVeO7YXkO9$L!8{{SZ&B zm`g%gG$2ukL*R7eD4>ammWAXbq3|V1=9uV(V zxH%eF5JpIZbV_HE_Ct~hN@A+{5IZzQ^k9rEM%{iEb3$It=9pNtRH?*eXhN(RYcm^! zje?_z4qUw&$b1vd0z! z#VhtT;L8a&YNMDGm3-l%P##F(ISo1kK%r9tLv&iPmeE1?X|_d5Y?qU4?Q3EHkCRj2 zZvtkK+J`qXG|*9uosq@hY#XsnRh@RAE9 za&-<%b<~XSu#J;tIfki-;xLC$ry}4dPx+)hEf<^|AqdIf)kc-f-h$~Uys4mWxKk!Y|6uBbHbX4qSOr&ioj>U!9 zCJuWNW{R^9fIS_fHO+j^U(TgTT-4J z`yrCHMpkEq2am!w**YeP&W*f&5z1eVP`|#4z39UTYY8z)My)iFctl*07U>&kwnWqw z^gRqAs#^9n+8l^Yww^|o>LTpp#YWQSg01jeqZDjOx3p3_J)H>AWNNhj!!}~$EMw)* zf;`rl1+*sEvEGasD|;H=7s;Bqev6?9svbYDl_rvKe%l$(B@0Q}!paLqh3Nc6LwQ4GEto4|9L^!s!Vt`|> zKO%etqPLwxd62lVkV_i9iV-7C9szVxR+!OhAu7u-R+@H&d1KgLvT+0=t%9vnn@#7D zB-zfGgwFINXu`@Hq$WAC8=0|{>-ZJyGkR3Kxem{oA*WwVP~T^DDC0xR24Z>~yiqne zDP9qY-KIIm@>IAm-WG>S=fN*DdfC#Z$SW!AFYIx0ZcN6`W;OZ}94lcjz}hFmKe=wxk&|w}u}##+ zS!ZLF?%u!DPb$@EBT?jo*y{^p&^`gStVOqncuqMLCt2lre4NYR@{U0e_(>x#M>Ky!g4#xx z(4IID*OE}90-5EQEFHz}W0GH=lTz5S(mFo=*_+z}Xa>-L*Sx8aFzRh#^T(ctW(7cNKIiWbsC!#3Q z)HkL-reucp!?99EOmca33Bz)06SU*r`=VW_B z?9?lILmZ8bW)ay?^4%kDOb~G@lvUW*1BVVRwk*@c@-YpbtQ^z41AgP=M&L@YL(2^K zH6o0yn&59#bdA5G%VLuGG2s(nD8hF~u^_ke2j-3-LUkaF1y`}sZ z%fd&Zz%O%62^=Sm_cS*8zEf@lHEvs~zk)4T^ze1)<^1?6DD-AyDX(J;1d0x*q_*)a z<*{XEjdno^3lH&uLe$*4$^Efs*>r}Qz`qpDt7ZoO00tI`1Z23Lz`ov!1hOuquY7Fy z(aka(HK&gTd$xa}9Zc;~xB4ggDG^n`|RNSu{^DZC3i7nKt|kwzJ2)tFU0lz0)oDc}}*707r>3kcDlSt!u1V8S5Y zQ+$v#`Z^Hff~BRBW)G2^SwyK**wv|WZ*PqJ z59*-Ow#<{I@LolaW{yD;Lpk8DCd|D3ERf@_L7vLj>~8~<5^pnU=fNDc?C7YySXLL|Nk_$0K!QN<@Rt zIxk2o)KqYUmog-?mSuaoWB&j{gEq-9Hv7ogV=ZXRpX}3VUwbF?DA^W<9hL_dOueOx z4O2~kbzaAwW+wfek(k{iDoAn~A`A?68FfC$3cT!JB0Qe88FcHx^!_ab&Y8<)32=C_ zQEdy86U-*cJAjuCVDpJ=$dTY2@R3|hBP>Yo6CgorVuz+C>t%h3zDVua)RMC-x_nXW;Y++z-Ig zJT}heBWjakZ7k$lh~5z6oRYF+%&dm{BN?#=wV`o=*xSe7OZ`THhmmc1ld0&Um!VxF3KKgd^;qyGRQ6%{%! zp|KFkZnAP!T4j@RF>@5;*uD*%$PRWs3qf8+D{L)j8s1xnSCqD&-_us4`cq&6t_bT#NhQ|kg4 z*^GhbmY>0q6K0VGDcrD1A&8jZhIt7jxnpQ!Yh^Z(=r@xFiDn<5;4i?mLgJJ9F1(WC z(X9tP@II_>Vtfl6yp!k_2w8&2k#HoKgXIq)v?7@lEG!dD!k-2a2@%V|8>UQCV@O29 z%r6;+8HcBV@RUtuBqZCtjA6-9bZpmw_+m}kBPuA)b~G%*YV8O&pbP)6XjXQ>3LZ_joDy&U{y0VFIBw0dW*7z~CY^SVIIkCE;D~ArG!!I&1 zWhVVnRt4;clWvcr_k$;qV@Qt=49mq5+tI;4sg^8==!i+IQj|4A<2ag3K5|8Yjv$tOa z0=6D_9k_$9qcL7%IK|%gfc3VaA3B4|HxDPmSfTYCThWnXC50;MW1L|3f*1RtQtEWCmt@-)zQVaqn@o(t zr>!&gFV>87ZWGEhOj2L4@*Wb8@(G{eLJd2f&8b@r4U=U2FY7h%PAaG1UNNCk$2^<7 z3nj-)w~UYWS>pO#zta@0M7|jF?FmoDmJS-o+s~4tTFUj22Ir0py0CIA$*zjno$DWg zaTic&f(t`uqL4i$Gs*kk2$cDA{}7lN5K7&sLM?? zPUJOlOXY}|N$GwO59AYD8nUMN8+@2Tq~(PSrEUm$Vnnc0+%&8kx|tk5*^58OnzBAA zp(J!Y)kv*GV}T`{A}7GwzQ?NkUl|o|E(pyb(@3b}GDtp7co^CG6SWRdenpQZYe-tZ zp+z9=m3$O?GZVuf6xmm@a2YY(KW0P1!2psMa9EKp5qJmKvQL+y<IHCO>(PEFg7mcuSm`Il95t6Z{wrZ!;x$fIJ?&+V8-DM-?|K5T_w{( zJuFFW{SLgFO~G%f?X7~Oajb~%QV1x}{)N(!QhS^UYUWn&J56~o$#7CXvxs5C{Rm=f zN?IdLpCf7PU|`G19R=kCv%=$HS$bWJSJ8+}eJ+?c3E~7UgtWw$MBpXP$dMW=h%{AX zRX+5^rxpW;ymK z`N-0lFr-7^3Gyz5Y5s?X46>m72Yy7qL~9>Ln>-E(xGL4LPFRR`He3s=;GG(5bm90T z)`(ck9>;eKycm2LjUM_k&ypNnXFS{Q*H%UC+Ivf)h)t-f z))s`8w)T!yVpWw|HEWNgV#KIXU1kuJ+9i>y)sjf9mg0v}ReL=7KQEF`@*>~dRgm8JEylJgZos^CoJY~#Yb26OC`>*f1hYc08M2jB1ezuC3 zK6wbzYDt)DCX1zR3kEag9KX#J)N?e?i+wmpy^uh}is;Rd=7jQoAh_xapK_>aAEYbG z=YI*jwg__!z*)9%@_Dk6+b{ET3S6D!6p={BxsGGTy&paL>6KKx^!BWzp@YXRc4U8g z&i)R$-I9AeYipr0WLG1$duyW6Hd7=kMPSie@fY(*GaFeu(apW$-Qd0k^(e)hl8oIK zHq>H$!9{mIF+x_jrqVZvbK(Mo?|?V?QwvJZ6&4ulZ|N!N94oaltw3TBOeihRu%{3k zy3a)hwEXYZ9?%U$rz-;jg7!4?iX!CWm98Wxre!hxm1xdu+0WXM8u7K$GeJ2xrh)&DfuPDoJ)2ng*}lH(#| z{#IV65|5>UNb)q()J^P|67Wg5$wV5jXHy^(OI(oW(8lW$R`B7&nTFLpZ;bBW{hPmQ zh3a%bEh>$3oaLB))4|imINE~#dn5u+s0k?{&B!a*DoV$~A&Q%2aE5^7SFbDy6na@D zv+R`2H2r*%+|Zr1`nKorAWeh5w$R=Z6T$MV?(>`L^`NNo=i93??AHT12)u1F?qH?$9Nwb2N?=-hyZTpQ0 zp`9CRRtaS|qovw?bx{{nthec~dNG$Uq=Yo^OvWpKe4Ln;lZ<=K`n z7Ii1$_Z;f7N@J<*JH9Rk?7CQFT^q-1KkKZhd2oEU(lKA+LxLwXUXE~xRJhh9zkde; zc-M1~$hERLcwDh07}i{qGW9s9MJd6-TjhqTq#{qp8#So#qR-hvA1KjQCR4N4U*@3< z{l38-3wb>zO)}W*{;j?=Q=28`@4Svh1>r-3Kt9Pg2?v!3md_|1q_=AU1E0v(*5{ix z^l?9a=~wl(F<@PMMIwI|B*o?2UZN-wIao)$9 z&kf#(wDl`vd>nhmw*Yn zHU4GfQjTCs_L_NkpM9U;Bk6fo8SlsunSg;L5Q$BBhv@R0B@xbZoYCo4NZ8XpZ1Lua#=|Z3YqX z6<$B)J$3a3kDxCm+zMHC)fQ^jZjWBrJ4y##VUmgWY4Tlu+~xX0$zxvE_^o#n@#+pRjiI0d(A@OO(Wyuw zF2Uo-=enm1Pg6~%s!x(prxkL@9%kl=)s*ftsZ~iKyA;lkOvtS^@fC(B6mF(zYuvEN zVsHvb6gp*@$UE0Hz#1Eye(m~pK5)}*>g9-{MVYcKO^5r93z}-UEhyz_^PbZyKA}u; zsY0I0eYG^!`~LCzga`}P=~r`u#O9oby)7Do)zR(E#>8MP!x!L|k;?>Zkk3XRb2SnC zbA0y8mSHKWadPQRy^LD>r;>wjm}~bn@kZQ_N8@F_L`e9S9;%jqR$W(m)D*U!r$OFL zI&gx&DX`PJ?#X?7Q>#5c?S&+0#4cTTp014ZeouUV0F}SPx4ac#ZCDB@__55rE0r*O z%@UNINbgNo@|iayF~xDwYjZDIkzH%ViGAg6-KH7Q<9Xup;FOfM$PQpqM-Ld1)9=G` zU&?nHWR)O$h&)#LuKWN!0EH8-J=8bc@m$ommQF}>Fv+XHgCap7bho*NNwT|*SPrT5 zI#2<$9{rQaN-|uf4=5KUwMikTIaRdqhTfAGz@Mtr2kdJH zG4b3L^kSw~9@IWe29nIxm-yILr6QVy*=;Q|!3w zw^jJjKt`~c2pSr}x@E0aL1g1D!*_n65S&6#Z2j1x@A8`gea5F+H!m573x|s`_Ne#- zVq%%yGj*8W>rpCfgLC+Dr&ejTCu$&Qd!sujG&D6zcCr7zY(oc4s1|CurgpfLqq&Kv zf%Hege{J9!M%^jd>%qvM&>Mdl?hXQ~&r|Yk#R1Dj@5igu;rO&k3EKxoWarSEigfSU zxF&@)v-mI`SH|dgtk%4@C&q7{v#~m1I*v7#tL}H_PB7W0h%+>q^bi(p0*n#?J3xy! z>%vpZgi@(3jMX~FL(%=Q!Q*mww7?@m4N_YCdTe+9uEXF4b3h-**C5OY*KT@z&Fq-9bO6 zw-e?0&UHq;oVlyb>&!GhSu^rWaMvyS2vj+qn+tjo0+t$nagEf&i%#pEF})vf50>_7 z*+9q%9?izgXGWJ-C%9+%sifcq0O8G)cC{C2tG+#DTHPs`1Y2b`HFq2`hO0e2D#9Fbb!EHB9aMZG>IXO*3a>0$5gs+I z1@K}LxnR7LT+4aq*T$Wa#4PL$Zwh-2s+)@wY6Mfp^t%|Ha>55c7_^sHDhRxK?B>I0 zflDR@Ce6g;1Zz0y-6+YbgRw($*B|BS44b%o35RzTg=SXOnCdIpXgJM^K^il<6pe)7u3-yg8hzM{*;p2O?z0a#b8%uq*lkp;*xinakS0Y z*n*f@xU&~@88bkBU=#clvTSK*8@a-HC}8A<_TuA6#v>O!B`vQ39g6jLS(*=CIYxEV zO_HV<{en$2kV%u{FkK^%k4(iDVo?cdznEZQZ_Q{a)K`p5L#C1$@05DS@bEutT6O_` z=dXxM!MoS)dDgLEeWPF_(o@nMv4+j@;rbB{r`&_u^s^c7P5bhs4{v5M>jeDqAgelZ zQH9-}2_)5>vSTo-%@k8;H&TTtV#V6Env_UF%{vNT!%H%e5ce;_=PYtY~ z@y&vQ1SKrrK$TvlyA+i`*)ey-8)=zc+jnDR{&^rrKIOR-5BHj19+tqdf;_Urxn!F0hwU)={3RIKL27N06D zE=Sj7ItWFx-=&r%B%ShCN!q)y&p=ndx<#>grTLo2Kd@hqg?v)V^OS~RpUN$-r2;=m*sGB_26y~D^7>9o zGefTpR+1%uNl^m=_s4(O!#^q=8yJ{ES`=Ij{q}=4Pps9l3jIBsnb`R+2X=636&NYX zqKC6BO5QTR#RL{XjB&v=DW}55jhQ?hbHY1Q907i2p9;pB4CbiGK(*Z#N_pQ6)rmHX zYx3@)ar*wYO+-$y97dtLj)HVk8I0q9YXvMp()FAJ&j~8NAAP4YYfInqlKwmVtYiZu z8B^p_{fON8vUiMkl1bG^3=_+BD{le{g|W)ZISe3gUDGLqz@FUZ<65?AKO4Da#O7Fn z%ooFw8G=@lbL?=RTfo14ON$-W@6rj&bsVoWI4DIgRS|%}R2z ziFU4Ik#4(Ge6O3Xix!jQoZ+!Z&{2`m_XSn1L1Z}OT9Tzu?H(Xvo~^277YU?l+wLzi&wY|Yj%_YYU2_!lMvQ@WsUlV79>NnJT~7$ zF$Xb+g!@gE57Kwl2D-1LmR%du$ZH06CM%@XGdf*Wi&xBH&GKqqtfT54Apr)iexwgd zTE=?~obl}8^YQD+?EbuNM>MOq;x0MaB4H_m&m6oJ#W2HJg(h3r|>glW3>#sN_!LAR}ifiAvTnZ=B zHw{QGRgr71ER0JQn8=?aE7a!4^8|6j`UO&ETai*;7mj+&chIUseG<{*?O60XE$avn>)WpaZRu>;iU zLWJy;FKJDnxQC4XHIy-!{}e)W37oKT>l&8b(6_D9;QlC;?|F+Zh6RRFle%DB!+JvXd z_``nBg4*e(pj(w|dz$fr*|DE{j@>=fOyzIJuwnXE#nO_H*D%@7--bVVDt~CW z)$~*@LdvPw+IBgmDg-I-S4A#L2KOFvD&I0BPgHl-e0|m^CIkU}=?DFZe|)Dbb7${? z=UKYC;*XEQw~=eQS%TZrqHdP^H;FQ@7Oe!Ux&#*VWS1(73`JNze^9@FXLlb~ofJ!- z5{`IonHoNP-P14RP;(TFADY{<5o2I2QUzYn^g{;UX@ru@Ja~MpDr8Y6nbmUvq=bCe z-lD+%KZzpSr-o032Qg~Owj@(eNmgj_4A{tCaYrA0=g-T3?pv?Wp$a9m4_3r4>7*|j zJ9^wr`6l@SlES$5$2F@*r%0p1x{jxtq5VZDsPsBq9DjI8o^^&6E^TzjXyhhCJv&1h zihj|50ts}`NC*Dn7G)tyf3ZO9>Le^%$utlDyit9YagEHSlkxrIH1xBWvC)H(aw&}p zML9NT34hAxR+J>@+G+Mv<1SQo+9bPbax_O^c23@k#Z`(hk9Q*bU}hc9#4;bpT!h7z zDg6h%H%*)^6R+8xD-Et$z7-a4EVlS-fnJ^wfWQaL>heA@=j38k21FwqC%4!>a+GM$m39fdZ&s*mVy8n)wdPe$ zD*tPe`6g{_c2mGgtN?GH2wP|oi1qdVp61B1W^VIFy1k#hP*GNLg@%kyB*+F!OOxUUL&!r zZBeJ(J!ib$bRHZIye*I=zNAY)UBL`5L3bNSWQS0^kW>=ks^^XOPy0r}**6P`m$hV? zLGa%ED`}D!1sQv5lPfsDhpBg!z+fxZAMPfdGD>c?k-6;sxCvlW_i@i zJQNen{YLDp7cHx-?qzw2-b&cRtF}S4q#UH5n$UEyyPjqMyTk%s-V+9RiS@tE^Jk3^^?kFXPi0z4Z7$g$cx$`P`D>XM z;v-VlO0L~Qg^j8EygIplolmDI>+TwO_a>``B|Q+%3aoGCK$+|Jw|p+d{SJrNMGC*_ z=i+FVbqpEEi0@3iyyk~<2LnJUGX~Mj8iI0@gh&WdxW3wAU|$_c&gQ6ilyV@Njxqg5 z5bfQ_BGX)EmW2Ox(TsV$rVwcr#~+4d<0O zDyYD;s9ET>srf=$fa^8V@y*)s=Wo1MRpJpTcpVUnUg1s2?bXHI%hN}4lm4#RCV}6v3?``fOV_8aga!ftUbm}m zB)pEV?Y5Exv~+*Mr|qc2Mf~-3;}{s_eE#5W2`s}rFRxpp$KT(tnw6nDWAcQ*!9GGM zy7sR3bFkWBBtx`Q%Pw6@VNDqvrspduDak1O*5dU#XCQ+`pKs)|pK|(F8U5Bf#E$r` z;s>AyWSwOAZVnv*AK+!t55fVY6ND?FemU}}n|;olX7{T{R*k<&Zb)?$LIyy9;yKUd z>%^)jFaL}3isb&0v!A*1STQJvKB`F$TlP7e+m*pr(%j*Usjb4+M27D1`(_X=Itk@n zrr*XXytcN#mX(!T{3XfKcs~b{evA;9?uVK;b$5SmmwU(ddby&%@7?`chIUnqi?*7V z8jn6ge#F4ib4QjlzO{(pw(1jK^$w7PNHCv~U??N1>cVfctrRHRr45R%PtqHf=JBz(PV}I39w9=&rl< zI}p}FlYM=5_`QwgwNyiWXaoEU^0b#vDLyDBm0;8HRpr3Do-9Cb>_6k#W!0StbrVST zUyJKpwW>I|={xcAZ%am~eJ0Z!^UIq>bNC*b+Q9E6FG|#kxA&7gkc*MMgkwOIJ zfd1o@JC^Q?&b%@SpL0~ib^t946>0qslDyne*Lj^1RvU~EyN6tG3qMHcqdeT3X8mZk zPZ}&=;&@#__D)G8zjsEYqz)$Mr(`OjyKsQ(-6!8~gF57^-5G}21J6#y_^7;no)#z0 zdUr+nWy`ysc^_FV*neIbPfi-X9uR`lcjP+SQ^raax=K&u*ZbDpn;0DtVp^;X+5-DR z->d4_DgJ%F@8flu)TK9xd0GaqE7bJ5Qh>8J4159Kfx?Rp__Z;f`}UPdXY9`l^DSa| z?cVun%9z^5OD^4WHhfbvsCmbt4S9TO?gNo{!4D6*Dacen>&J8x!)L>QbIC)iq_+$W zK_0A1vl$3gFYXGh@0b-{)l#3}j|b(u+eK3`ZrzUU2(J>yqlx^~j24*(kVt9wc}4mx z?sOT$pN3@eqIVnHb>%5A=h~-8W%Z7UR*n~NLf$M7sphS?4s(iF%4==qMy|RRfSse* z4}ObZlW{9cGYY-VSBsO6zg=y??E_ zusAyDf=24EcxLow?K?=W<|nQ&m44YSk+Iy2(_xofik^lsViD2(;7X)aWgv5{FyiaW zL+;)(Q>_s}uO{O_Ypts;4CQo|cL~T5{RZvjx~+IcxvVEVJlf<%Rfs34&%`2qsYrB( zRG;Kk?DQY&FfW_MguHOr{XP}6W~EdIKC_0iwH;)ez%aY)U*Ysle|#qCuL<`x?Y=tJ zFgqpME2gDt!9yzVcD*adcsf-7u!V-QYal%@mljC4cMOdVHyf6{lv$}~={?aQt`0jI^X zdNGX_y$uFlSIoNWYu~aC(HP~k*uR0ZrkrxV0Rx;|31Fd__lZp2~NY8dV)iy7@*OH~3z!O)cKM>4#SzC@H}qc`Zh7 zY0b=AWmhcKIk9`mHIPkufah22r#w;dQxM8Kx>5ez3;H9oU1&#wVx8V3qi+7TmiPRA zhOreeSfebHHcTgjxj(}M^-%kJ?}4_#*ySlm`9wvMj$G;;jBDjGs!2=xn^wOFf^RW< zj=yQyPZ2tEv$#mQHmk{}MYG>%$Dv;mq4FP7s5rCf>s{_2VRwkXSb{MCDkc(mRwW>t*mmBf^q8U1uAJvJ+(sA;gR3@5uH#rzY1ju1`Q_bqd$>TduL` zj;?Q>(~b(NR`#5!yndOcbbNbq@mYC(q9XS)j6L2ZD+%g`mKL#NWg811WGI`f8CQ)L zMi*Pd1IDz0@Es>U`|+83uV0#`2vt6ucrduiW4LF)4K^K=e3L{> z4lxC1b2{fG?LWTC%HxF}DuqaRnfnW>K1};oX7DggjV;R# zr_2cw2aV5;C3yEirp;O}Bi4D>MVanv?LC-h`GlvCG75S(@B{kdbdG`Q#!nIzDDf?| zY+rRngkKr&XngQKB4y!>Ekd5_OcqulG7_XzgGxlQY+eEb63hmDWwl7npQ3pwa&5tK zpY}AYjMSblnzxPTNp0)H3=%jC@vqD*BJuF?VRVuTn?k81B$-8C-<6hsfGE?XEq*8P zM@9VAYimN$>13>r@oeI~7slSq3!ZvTGKVlVd8XVLRec>lAr;(?M)~FC39-T1#mE1w zeDC#EUB0x^#ki|JAvGWoNJt3-?y95PrB6W0&$Wd*QXZ;ZdIVT}o3jYC2lQJ3<3F{o zJ;Y+$`cMTsv7*Ai)3)w5wHaR*@T->g6C2i=OYCdMg4zWrJf)b(0aAmDF%QwND7_&8 zRW%OwKnFmC{VFUOzAW_t72r$dUi8=X03^u0z2~XTcQeyIDce;$=eFkU__}UzF?Jgt zlgJLUizL5Njw8^>0lj`0|FdO$0~hxP2N`bi+#8+7JW+w0S0EZ|ymoXM0S(nU#U4DH z0$ACIBrECO1P7JSkh_hxRhzmYC_Bw@8&d?v>1wrTk%vhM(~eLK+dWmS+*P9vp^eM+ zhG^NvbSn|I&FL`{WulEV6Nsq@B;%UsiAbM!Nh`eHo6?y`mh?|IR;hA!)3+DNW`{J3 z4hVl_C}DeV@dtl^mR}rh7#~id=D9I^NlsA)x8>2#@vllB9!qE4O*>6G+eFE7VHJFP z@3$}dS@7wkIqd-9UAg#b#?8!{;SJ+R;d^;5tnD>s!ql`7!c%W!PrdG3%+zwHa8|DR zV!DpN252t}ob`Gl$&rvIB){C==!3n_rkMTJnbRe=k-L-IZ^(vq=T_dBVUVw7qJ^il zu8Cc3-cM=!@o5oe{@9^41id!MGrl;kuS`sq8$Y#s1GobmA7BU{$cL-rz*2m}|KX3X^e(^MYrG z9C)SgEMGGnupBNJtUh@sk{`g_Q++Zd{2q~tz6DSnAwSU7lW5`nNxicYh-lswYt zwI9ifsM29F&T~+*)6vs>V~o!T1-2{T%Xwx%M_kW~g>z`fKz*B$jZXWfGBNq<&8YbB zLxrXnCb!$07l}!7@;~VVLjjf((5*byP+i!qd->132D< z&R)?~2SkWk>67-1Y{+R{n}v7xeg0?^CpT5R+i^4Hl#w%%fwDvjEjRQo zSG7OH0fr_>ZtLslvXuHcHj~$eqg{-@4X%Q$DymPjwDcOJ!TS8y=B>FmL&v|$jMjx4 zSU|%y)UG^RjZ`P<9Ez0G2ANlCGS_6WXH}ZP6B0_AL<~f72jzN71l%Tmu-5JB>?*N| zWU1Y3U;BVB-`wKo^mQv2iK5QPnq#rLu(W)bE9S9S0co zW_cIcdpW&}zT6kko&$_wa}pa0z@@r#_ZF`jObE=#z^{?(KP?~espuLvkua+8zN28v zD~}UN1L!+kilz#aA0|H9U%x;2R0RT<$dKa;N#yDfZCO%f1FTwgX5>ueK=iI?`7(kn@9p+ym;}Ind6WVP|O( ztPgEkMY!W#azOUq)rrgfbJv#r6QeefvQ72;w<^_}a9-GKJzlcFH?HL!wvY-or^3)f z;ibdc0q0EG3k2nBA zuD(XOgNAA>4f=fm3VjM)j6xMV()0oCoN#=fy#?F7nyh@GJ7#H$sjt*M-K@3l?r7*6 z4`S3I2}ErZI~#jyU`}sGJvHft#aO?rZ$4N3CvGXUVON^P)nI=Xu>M3_^K-P^4k=IW zUd`BeysD05n0_ymvBmyF3i>&rw?K@pc(G#=;+C#NH{6$7PM=8zWwRTO>9Qb~-;35( z$$9df(`EJj=>ItFg)ici^(;>}!zxtwP96y;gXU$a!JRByV~Ra*;|@X$nhG9NmXRXT z$G7Z@G^=e!2weZ`TT%D@+@h!4PdnBAeci%GICK_tVY@swpg zt&ht|FyP@r|Gha5$X#A)wlriHV*C8iQP#7%P+0t8mWK86B^p|41xp$ljtiPLOiVQ< z=7NTBL4$=M+Wfb%Oxq>I2Xy{1hj0tste(&WT^;QRtXLSzh4h1DAgU?8bfu=83zY4y+3mKI zxU<=99L3LPn!JiVo5w-Hb7$ozamBEYxP`6B3!1aGpslu(cx_lAU@n)7cJlTMhPR3d zcVM|3lGVj95E22gfwl;l`xM8;;1=Ry7pMa*iu0!at_!`e^}(h4zoI&9bXli&PZo|I zv+!Z`f~Mx^ALxX-mPaiGvq$kX32-`&+sX;?Ky+HbGa*0FlqnALss)L-*|UCjzJTa% z+v+*N&zwYe&cdi`a6uD*3)4Wwou9;g@Z+<#qLxR$psDL2{VtxlplK<`qqaJLQn_$d zE{I1HlF8U`wc0+CGW#Z$iyE@%+94iM)TG;!TqxP>RwA)^fxc8Nih zpbvAe2cVigCqcuV)Hy&eXf9|Lg0|)uld<*yoIOHS*lTxIqO0=Jua;sMZWanChN>ei zz)wxL-?_$hTWwGa=bz6ngiRtE+D;VkZHF=n z)=NQ~8^CHVBQ-}a?5Rmh!1BK*+JUZ*3brJ6k7+SPYb*V6-Mt z88KotThVQM-dS64R8!=#5qP}O_R**3tRt4(_WY@1SU1$LngfotU+#vj6q}h_d1Da3 zT^I-jZNWfWCc_Zn3(hX~5aTU6rGb5n)l;eQrFuFV>il5cj*&>Kk8ywF4$5oFFKB}H zP(E{PHv@XM0(69#REgkeXJA1j0JDm@4JwAI&-%4YA*v_94gXHg_D63ONzzSgEzGXB zvkGRguxh>J-Ij4~#dTB{be^IoU+pi%UC_)^gd&Fgr_Q?3qu$ewTS!L)ju3_=KB?nW zgWWojRp({{%==YOA6vB@wVWRTe!I9ArZluTJlcofQ2cGXTHe-E4oNh(S`|JXzMu)5 znF|8<#Jr2xIv3lhYa@8!?7fhqI{RZZA1t#r9uy<^CSlbm$7mFSDi8~sv+La`XFcl- z%|9^PAh2zUYKbmrd=I8_YCaHO;fQZRWB(2) zprg`a=o2#AV`}NrN$B3eoGLW|ifW`<%KTJuO57x^3z|ol^7fH78$Y(xr-KR+ps8}I z{*}d0FOJ5j1|^94+Bz6>44NjdpviD#-tzu0dJJNQqCSaen@0d9VG^jn;B-XK{=)eL zqa&Jev7CSC@e2jcCJvsl(7=;*rT!fZj2uphqCV(!m2%cYLG=8M0Zp23&f3FUreHG& zc^TOL#zCif4U#|=ycPq57PCoB8ohDEMpcY=#jutszZWC2>L=!Dz2_u_ z-WN6VE$*t({y$1DXu>hv>_o2xtL>B8ul#eml(?P~>Q0};{2PM*+O=9ah^pQt0!+!E zsTlS76UP%d$TqO>ESds^a6fEih67M-2UjZKV( z{v?jN8?V|HwhtEix~(s0fMw7#Bou%q112M@+ahJLPYvH(&`?gEO!?u8VaGS@A>5(q zmZjz2Un!g@Wju7&%(#ULImh(`fhI>mm}K!leT86k{sof^EMxf0JQ&DxF*5zap2^g{Hs@9zHGiIi-y4&ji77(I+IPTT~y2-k=UH4hQu@ zQa_Wy$VlCDSQ{l4MYRqH?na(xt#xVLN1yf{s}P3a1g-Oba07n4`u)@G*#2LPiGgXyW)tWAgDe9H%(E%IfBvT04xCz zezJ)*5853)KY^d0G;%|+^V3TM!jC>KP$lB&y=RAo?Ut?fS>?u`2sInN;L)q?eHQ)S8fTN*6G?k`o?u8Yu#!(cS$26&6?RaeSc)bRr7dx}(DlZ}W z*D6x)lHO_N{#GCtS|vx3oTPcaPTv-E)*S8em)uR&&z{k`1M9IQWC=g9`FBAaQ z97J7cBr%%uy3-s&^-rP23yI;KnS}6v*5;WJr>GA)ZL?q_ z_TM^n!c<=wI8XWS0g@bkOx0lmb%<~r1zv2gQ0@%pY0o#()nt0?>{CDM|pE zK;3U(b5u7}6J_3jOF45LM@Ezrov!U)5om`V+i^D{3m+rb2 zj?-I6ml4H3w3}(~$3wWH_Wsl2Z%qs&P?!6x1#>}zqZ+aET{0pb2*;Q!?m`hrs;47> z+qPGC$>7fIbBb~}i}A*Soy)spC)?!6Le|^9H-kjb4%Kp5$4~QfX}v_4Z}7i;wG zA?BEB0?$u0&ri0&f8CA~HlnHWf+HYs_5sL~JuC%?hIOBWXIxTp3i_Tn^!@Yi_QtY| z5k0vVC{SYc)~n{+wOtY11&yvA$pK1^Uy1+z-&|1l3{|vUqHvfEa8FPTis*&5s-?oK zNyi>+WE*mgUuAx+XtFNaoGL2b=p%KcUl4j>f7d*m5;twjh1xBzo;zZO7kRr^QcYd$b{6 z%TgbFf4LCeF|tj0)m=>mKhXUz>tLk4!Z{H<>0dWX^g;IgL!I5S(UUNR$Sg0(f#RIH z`m8Jn#?v?y*4byF@AtnJcOG|Wd`#J`BaDSQiCazKf`)vHDJJ*Hz2BqYhN8i zLsmzPbVWlFx4YZs4!BPwGFi7)rpKd$K#Fe(XxdKk?;Vl|7N%O$_0%dgc6%R*C8(OQ?B7=7sl;G z&doqYxuJQOMzcBPYwIuT<@D8~N33`8+#ZH%K~6ZS0GHT1`Hs?5go|5<3RsA`&)|5w zh6n&r_ICZw&i(g#Vy4ta(+8TuY5g-3_q;1uy=VUP0y0}H{yG{DP`Y;cwkFIppx~PV7JYR3%#g96vzh#o{|2=(EZBbL{gHxP?Rgc%Lo+tng z$~Yevd}UneE9z`^A&1cUM9t}2O$RFtJv6B5`9R@}$EY{0?NVu96TFI^xeqwI(b`5$ zyI6+o6x6s)g}rj3GDhQgp|6Z~Dew=BaI7QvCB?ghnV?INP>ahBWo{umQdegg|2lV! zc_Am``$%UYA|ajQ>7%Ik|KxYa_#trsGGUhHWF~9BUDDozzOVh)9nDvjDxq^Rmt=ZHXLsPEW zcER^koG0?JVTmHgpHp$u5{xn>H<6>8)dU4B8G+@7OFIZoo4<^Bcb$=17-3dkCok?~ z#f%LR`uNFr`8)OI+!OCI=h)TM?{xesaI7it8D!@;-+3-nF&V*K^GWn)AKh&y_KEP> z|AA;Y4zGtb`$Hc#sqR61Df&;iF@m?oWNm=vpFC*izfq*)W%Xzq7h~F&>B7xFT3Dw7 zKRC>-oE*Cu2Jimv-8JU+pQAnG=My)ss`_z)bc*%NB%9733Yg++!sVLZG&zWPg)b6&6hM%eo8c15g1 zTo03kvYIe^X78m3_k?c|KcM0nJ`@Esb!@9b;m@E5ECEV)o5=E*KVVAppY> zylq%H0pv3`ss`jq^yqvp{_4wBpw7D!{UqU=7zg(=C$XY@`^rwUFI(N=4GM@X>+m#G zq~Zr{Q(`we?8Pk^e)cPk9n>a1*R;t;RPPIq&U{AOOM`{VytEry5&6V@YEF$xILMyn z@|rQTL~OO+NZ3C5eVA(fdEVS*HB?A&hx~RVv%PI2Jf=cvLV|#=Q++ zc-mMyfF^g}5OL0a+C3(ra<0tgBX&opGVCWzD?xA~Pv*f-wV|j?0*Q9kW#N3Z2=|==&$Qv3; zB}a^fjW+&Z`s%!tD9243$^Gi_cTT6-SLB69inX6c)I`Opbi^eo-UMFtP8(X+!skr> za=ZA~f7Hu%;m8B4yRtP@l>5$D?TX|Wq0Zb4|7|$-vczZayR|-NW0GBAPxnYszn9x~ zGNb$r^J@Gf@O4veOWSAJ*MtpqQ4bm02D6WNW3{Ivs-D~V-$%rN+j1>%;+B%JD?ts% z&Xf1cB#zZSR)^;%m+dxvlD#inthjO(JpAURPS2s5qnxb2puoH_A%}6l>z6-V=R4FP?tYk(Sq z@iBPMzMDvAiczeN)7zGxiVgirkd!j`+{ggceG+a!J@<9#y>`F<>`6Oi^|{tQ4yu4o zkOrOL@m^SzC$co(C)$Bx7yFj`#v?trsnL2Z17LohESLDS`w|z6TW$*%`;9?``WVjH z^^Uu1XiC&p(<`~lv7e7jh!w|>Z~g}0h9ZSEl+@S59DTFyN$aE^wlQ$XPW{X2-}2bN z$YFN5H2)1Z4<+1{%4%HZP%W>!>x~4CpKUzCCEp&eVzyfACga4mPFppU{KFEwI((4R zK-DqKlhj#>?d+dAhc6yna#8;zep7WUfdvQhRI|Dy^5=1WC86vRn!lRi>!LrA=eX|= zCys^>0y;n6p9)oNzezXLJ!bSx0Rw(Pt#eI1V3^9O1b>M}hIhEF34|aF2LURiH|MfX z!y-nc+Sw=0ip8N{OQI*~`0K6ghOdSc5-M4*c>lQ7`5bYEvXgiuDJ-qmfVvSdc?99G zj6)#Fw^>h!=C_7s7OTcH1z}$sg17%!>hJ$vY3;l2|K!i-m!iM_R;FFhTv=R|wiZ{f zd>uHb=$1voUy*@D3w4_sqE8}4)6p{{;;|gx!w`oK(z&_ef>A5 zLMy4L^VSus-*1lHX`Z|?K}H=3(mzeWxp6QzRWDp>or1|CdR(9Ut-hc!>%plh@6ru~ zjzjK_N#RBd1@+&bz8dZ1}dPUzLjez*1-b8|!A1nxacZloh1qpTu*& zBZtQ-Vw2NV^yR-+yjq0(`H*LiYFOZ(C<-&*DbA3;3!`2$&n~5~p4JP#G+wL8dyC;& z9zC@CjB+adPJzT(fH*aMjUZ~X`+IwCj%^s|pH$8~)o-Ks`68Zvn_SoZ22;ZOAkOD% zk%&7`d2UQB9_?D!n0WR0ZQBOtr3Dd()mItAvu&u>NSVy6f+e@&w7?fCB6mfFU8GPR zA6XGHyggSNhhjUJnkyuXe+_T5J_^ah5)|)H4pOVJ9|>?9>N&cX_BMBsb4YsDh)m0k zUdk%hJk4nb72?Y(+Krsz8J0}BNK6^kyt{YQ9DL{B4{AJG&Nx)p7%KnFu;23EjpTKC zFY_RolT8xNav5D7P_WAcxXg0zfZmcv%p)r_m7rwYfaOVhF?FpF5TV)iUW}&e9h~rE z44lcPVQh4dQ@ZlZtEFI=Sw<@Kc-BXRHFiFuDwaYG!rgZCLJ|PlW4;nd=1!ulT}BzF z^&$GtxAv&qPqzyyKEyS-Zb$C?^M7~b(srupYa#|yhv^mH2(ix8nk z62xWeDOYhl1Y>0^)b9l}x>4#b>z{vc)&F)X1itEq=j7|&$mBYdp`&xTo&aq+K}!7g z=gC|bYp&Ik^3a~M*F1WL{8*(_>%{b?mG`Bh`7@mLC5D0j2Ja#%aO@;#!Qw8!z(K9b zCSRw(rK28C13h}3)O`c?0Zu%bNO$jq$x~zHmU{)M)!&z{4a=I5YbHV}&z_na{~UMg zx@&HpD;PG;!la7YaRejpdP_s=q~f=y@pL(uL(8mR*qZB!d1r&)eL4NUjzSGvCUC;7 zZQ3Poe!V+2(bj$SQX&l(A1YH6(etkK9gKbH+8*{coIzTG%bb^T8AtF+VQkrb`{u3U^am&aRsm94*{$CJ+H+!aeho#pB?18>eNqN+ocZ#uxg_;~kNlk0 zRv**%Ls6f(Osb88>o$mUO^$9gc4o&OnvD&MUioN;q0f|gv4VUfqyBy&(vx{@Hx;fozpn(EV-A$FsFTWj6NeG+&q4<`M3Yu#Q$lz{9EZfBm$ta*z9=9{iu+Gc?smv#0!JgPhmv z0={`jM7+$S;~HB&sqTq6$1T*(+CRPrYokK%GHM|B2VgY&*Q`jt;?&iOBwg3f>R-m9 zA9@lI-bRno4%+4)@Do>ZT+t_Mrx2#MZO3mU6dH1MH*;Y~CbWO{ECMn~4(zs~pXxTm zD0ET9`@^9dw{s4T{X}cYa%!ufsrV9Wk}UU6lSbj>NGI9fa<0u;tl?uulw&pllbrXy13j@XTlgsudb(!;=g$vznPre0^+>2X zWo+fZe!JPJXN@+$**ci-<1&k&27f-$P85G$VXEx^)34rr_!nZEZ1y!885e9;CP9|_ zE=ZZ+BIm+7dXOMyJ+7?w@~t=Auzxrz$_u=%EFVrWmKoV&HefQAx#oj61IUtL{qtvvh^)tM8B3Mu9ddWuiReJ(m)9NgG8@sXGPrB zew=?eI*2TMK@%?x$;#;!Yp#R6wcgM52zW-Bdl$z%lwQHT821Ofc zR<%a0j`KyDT9r^oA}EPfd)AhUs@1A$duWkpQi51T8ly%L5nEfEAXez_`TYKQ{F7Ha zd2;8z-`9Iw*XT}GcEbfZC2dkl6TC30;pOe=UuvK+TTKJ3&szzoM^QO8cmiH83&-wg zO~kaYs4aviSEd2VVvSA3zCMW^zxUyW=xzT@j0>Ul)_+y!=Um^S&2Rr{haoYKf%Z_k zbIm7fltbxD0Nn30AqM>rLTtgFtL5Fjf$sxUBbs{o|9B;u%gJyIkpk!o40O()!#}>& z74|4GU&-qxc<|BR?3X1T2F9rei2h%Uodo8(BF_*ze{8Hyh*aZG{+Dicl-*)`ii>#A z8V^NKp!`HT;N&Hi_*d($DJ z*SH7AD^1VVD8Mzv|D+C5%&yb{=TkKSpQ`6mn4R``^2zwBjm%&yd|@veNgkU6GI)?7kVdk)?Hux=U#Q%Ewk{SGTSut%A2mQ z#LtD-8C=JoKM0;FS9$f;es3ij>Qg3=dq|I-Tj@-*mryM4agcD}5N&)cHwaK<6J?D! z0qcSSr=3rKv8fn0&1#Ii@`;uI!Q#Gh2vQRPg(;nY)A1QUS_z?_ib;{A^MJZ?36JtA z@B_13C2ZuOUhYnBrv}w(G`G=C$9u;u(=kJj&uTMgP)+qi<(R>QhG>gd@88R&S!i0c zs$$`jB~HpkuEI_9H$`YW^z^?uR1IKD8B?i!fm#(%jsw+-`%L)3^Vp?Fewv3g%n`D? zuRQaKPH7_D&uC_2^3L5;PqZI6UKA<5xGeXtUxb{x!Q7w!eLM|1DdT7rAs;&si~K5I z*j^bDe3A#_vLHM`Fi=g?bOSqP%N})s5_7ahBnKStEp#~QtB%CzCU8QM*zl}RnNQm< z9w&wTQ1&iWy#0dlNaj4vhgiRBTloS>#3C!6roC;qRp#cEiza%sOdy-^kvssC9Rq0L zi_=ja(70$1bT5>9ebv1F+SsRiHNUy;`}<=c>J8rlOO*(cKhJkDO^Jn|H@~@-9WDbJ z@@0l!#S1_5kl^`LUNLpOB?NE~QCPMHANt!ENH!4=kXC9E7|qxvR1fR|d(>jQaVbOE zsj^(wZlR@Xgmz`Mzu+PSjvuVRk2hY>?m((xZPg|O&By%2Y`7!@|6tbVQQ7+nA1TM7 zl_>Dhw+BBtxFsEmgnbR=m8Y<~lXicXvQ z0%Sebp>i0dR;YH0n>PnGMg34P>r9O7E)_!Im(+miqC?nT?jy?KKhJLNL8|5^v+D0m z09y$Va*CncAeQr-RjKj+^u__17anE)gY6Mtgshx!P{$&{bucj#A;b!!4Q_XBn`9SRmKW@$LwOq z|7<4eR2^G+WB=HExj!{}j{1YD^}ns-Ws!%@yS^)c)_m8tLK2A=^sBJ(v=P8FDyE?b zh8Xdvr=`U~4T~HKx7|^sNBn2i#)GSxGBP6_)x9S(_x!c4p7V(-`_z6^HqB;d15W|| zb{GW4+JawLfd?JHdDkTFtHi1BrbXq^0`iz|fb+7x#P13Y60=lXHU-rpCBY!n=kgn# zuOEH?KGrUL?#-gR9r;;7m)%uc?IGK}BEQ;nfkdor{E%?$knOWVuRpkXYi&>Qale@c z;r+obs6C>%dJ&aG@OcS&8^SVs8hysrMajx;GbGawnQ|jDc%Xo+l!uqqgNM{DqCE-bImL0 zc3keOy1~u1S-=h?zzw|2Tx_52oivY3b~?$-i|HV6NnYNYX6wD27rucD4bz)cT}=_{1D?JS7tB zRD2T2*`^e$=5M4F6MjyCjmsAC13|Ro?Sli6V}P@5g(5iG)-fnXe|HqJImTc^jZkHT zJs(XljK1v&R`_2b6tM*mNdo zR{sRlbObcX2#@C1I-yU~#@ZQ5vc5c&Jsn$P#Af&PVtzh3DT=1U^I}fL%$O4p z=v#B7_q%#ZbC3d~CJB|&oD`C-WI+An} z)kIVbHafZL<8)QC|2Nl_nGIa@b^=X52Ol#NF1khmzRj+nqc&l493s~`UKWBROEq_D z)!b7c+_04p&+f@k1|u7vZ`wTW;ZvV%O$vbS%t==t2pLA>on9BuF3j!=fsR%Vd?%3D z5h%yqqu@li77rcYcRc`B^1RoPQZ?bIGC0O#V7|7S*ZQ;veN@+cwMe({wOp%c18ILE zSQv1O6>2R9(kG)zRE!b~&DXvYTB}mA2>j}I~}6s8;8Ax2F~L{!QIZne8*xUm z>t9a%U$>elTd1###5E#w|3b43ICoCdelIBlPygpby<#tWLTmDX^jJ&RR;zQxR~EK( zHrb8Y+T|v`Ut%lU_Va4E`PDFv3BT<2LS4TTFDsBk*)}amUj>o=DK12b<2pJ9H@7gJ<>zC|WB$iqQo>zuXd`x+;G=xK6~ z(%j}0v}Lo?mYwuFPDeSGVE8=Z3I_F%GcfQ4{Y~;mM87|%BtZQ z<~yX^N!cw@lev(5GzV<$JP^|gjyQaf5-p-=2U;|f0b%#6<&G)QdJjk2#I$J9{Lznd zXJ@nN=6Ro2F`Bc2YVxs>F|qkH1J`>xUur?Z$;7V)FEIPJo(As}u7GUSGQ%%%t_N%h z=3sbqONWv@Y7s{G?CJtaJwvs)Y$l4r{yFBPMA+Pmj;h(6&L<^cXHP`=2kypZu6Cp0luh03S~|zvOfQ^K zg^B&mRYQFFl+llzq4$aoQvp!w0p_m1xa zx8Gb}&3|*i0*TCpt&F;B8FEC1k$+L z)y~iVj|u;6nwA1Rt`iz2a5|^|e2C`zcmo*l+nnnI@UZGf;d}3((zs)~DG_a?`EB42 zq}VQTPbA|jADp?YT{h^Qav%zlipqKnxO#{TjzMPjEX5cl$ANku@o#%Fbmz@5C&-28 zdm-AR-ok#$^I?eIzW=La8}up(we|R-Z*1;hn@S)#qf#=K%BiOGq!+{qQO*~@^9Ufc zPJZB$=NYr-^g+w?>m7klzieC9D*(b~vaYqW*Xxw9tlDzK;)-iZRrS}pKhBT3^R-_Q zof?QLHre>f3L!$7cDK zo%4EMf{$=9VG$3CXIr*4exhqi^)JYpHs(gAa8kV@!49zBTq|TX$Cv*&=aC;ol4ceZ z2e>5-mxqSng-FvB*>#&wmJd(oX>ZUBr`DcH#W0E2AKg_=u`BF|2 z@ZD%zj^l@QW)tugr67-xi=Vt>5Sh6l^T0ICnil0N@jVGOnFj;djCv3!@q=lBQ7%y2 zs2LA(M+~h>4ezb@uL*sBv&XZ_D{x)+!Y3GMEw;3}bn1d+)%6Jk-nw`(r&C2QVxNI5 zT|IF+E>hqa;GY1#RvVfIedB~OC9?(j`yAa)*flBHv9TJRYul4u~vSmoZ4%zR+Mc&@uV#o%J>mj7~C&&*WKHkVp zE^OjY--OEB16`2*DGmUo1}LI~fNFDnW#2@JZLVQhLX|3K5QJSXxofX2c`mdPjkyXM zi5RcN48XFM9KZm)YP)LucR7KA7;+`=U~WX=%0)%@Bbfx(#&CY)%;f>Ui!$+hYRvk! zW;;gp8=`SyVc9F)M2>FcSG!_r?Y!E|_Wl}?Za#+m872>qc>^<24wRjgt!OmxbT8F1 zK=bLY|3(rgcKj26j#K!l_`uOUI%UCwdmI{I+8iT}Q z+>RzmF&~47$BVeAJo~AjP{;6#u@DYuN`x&%zqjjUh(Z%WmclgEEn$XYPB8Bwa zpND1<^~q$NE6MW&I2BQH|2(JY6nk{7jx9L}gO5{JG+K>jOY$N-IQRbz%XilnEJ3L9 zNsuFyZQ2vQ)FEt?XP<2np1n;MEVOl$*1APPr|E*nF|&sQv3RF_rKY1WvlO6|d#yQ- zV1Rye<&-KV_xmP|@s*45*5qU(a(A?BI|Z@+?=N@hn@A@Nf{cn+6=K=@7V&84>BA*M=` zjv6I`l4~zicYgijfun-g(O)|F_X;=~i0H8{<+No-hN8>>>A(foiAut?bs z5@b{uf9?1V-2B<5?R?uzM;pNrQV+70b{zKb#@MX+;za`!E52Ls!s62ht^OfvueH=- zg(Aa0XI+qVFPGJgy%}zLAYkIjQ)LiK*LNUmionyccWf1M zP}7;Pt(FU-&PikwS52iENpawO5>LEAj1KL&M?WQ$I*4MtK+lsuwXjyF(EI-fCNF3w*aSRo$6aso}a2@(TnR`;gX0cYP`*j?c>IvK~bQkY)6kbm*Ow;HQp z?5SrjSw+G4{?S@~Q{(zFTcPJL(eJPC1ejigZ*^rj4=gDU2}@&UWPyBKLxM`sCEyAD z{yiE@EZRLd$F9p2!dEM@{(Pf_2V36V&^DWCb(om@BMoih`)<8`63sIryskYYoAh># zl=0L&N32d1Kc`T3vU+r@r`%jjV_sXi2Qg1RjUOoasRJAML|tcujc=6oM6;)hPcm^& z0=l=DSFf_Dj~A{+qanRp@AI{Obeya8833WD^Db1K5-ZvD`j<+KU>FfUEq`mLui5|x zEC58Eymox$YFBLhChfKONWA;nN{SDawAgvvE3#p$H$d(8cxrd)PQKa!2xY_+8Naf6 zB8+p6q z$V-KLF&&q-CsULA|%$d3uT zJm30>ZJZv4ZSi|DQXL@VPUzYW4-fJ?e zp!}giPrE{Xq^VoZ*?>v)=JLxn}AeFvHR((EA@Ns%S|KLB~_jMZ=z&fI7B8K94N0 zX)_<32bJY?7c3ZL_d~rY`=T=xFHip6L|4nflz0Ug!1L z|IKTe6#%xSM_YFc64oV~y%YkITd|>zL2=kF2KC|iCdI`%P*+@~*-C)h)mVlt+U46L z&CWF!F8=kx=c16~C1466pMe1EK|q$Cot$yr@=8Ld;Ai)-jXmL6-yD~$2&S5SOzZClh)3rwF(e`;Kp7bPJ>dHCH?)N!M0eF}N&g)8K`#t5Cd@d;g*?CHDndWvHCA=p9rL8eXYhn^uq4bvkcx$ChLZ4bnj{{_t2#t z$1LAC1EFryg$P%@P&{{tph{ zDpPzl;1WRRFF$rc5Qc>+uy1N(Z%2yGg1fbhKFtuj_gk@9;3&#hVAmt`Ca^WWFnCb3 zV|6}bLO?Ouqqt^R!85R;S7 zyl9lMXUMQPiheUnU+!DySs?QV?IJ@=iK0rHarsLEGB=VwRPZBWd%CnPhzf;#I*N$z zU!1an!>a*Wj?nTr6JRl@DLSwNXmo*Ui->Ef=u5&(Q8YTLIOs;&_lfRKEG(@1_L5B9 zslKdP{(EiAB5I*&!Oz^ooZK-@USzcU7T3LkM}wm}SgvP}puV@s;i3pqzhmNpBdU&4PPoqxlyO7?LtwRes4` zUMjtxjE`(})(``gj?$l^$ zGboRXjkUK5a;NXs+K6~F8*c>}%{KTC`z*mLQf05^N(rad8zg6KK7x9y*?wkfMKYYv z<^?7Ty!|NDBwv>zh__?))uA}h62}h|5aOZ#4ZwkzS0LV?mZU?_h?$!~j zL9`3v+r^0vCb%1H`PH}2a0|HaDL|tVV&rt5AWG?jn)P;St=kwPWb2^YD*7c~@2Y0R zYwkJG_M6{}6pY4tC^{O#rzczuZA_c@e1(J~A3+#g>)>P!k+r3)joX-Or43sVO*6Y+ zrf3z?@@8r8iAzi%2O(A+^OJG{gj80o zqYaKj0i_B^fF`^h`jreNT0c6|1hZaf?ZIBASGeetM^vkKl3n|iig$a4Mcw`*yDuc` z_5@Q<07utB5#{gR=o$z*c1bYmzi&4pj+MT$Q10YxiMu z@Ir=?cAGg&(7n|>1>>w#B-vk%eqlq<>}{k@mG;a|)5FK{s$*giwL2=Kw8+-L+>Y|e z&f?+?$A#Ruq{%xU-#6gDxf;MZsdLLv6Dg;*?-b#PdQ7ZJdT!yQ5;*ck`3c=z4cZ_C zY=i*VKPh|d2fT) zKF??-cuG`(q2#=s5LV3H{ssSRH6<-^xj)d@C>LUg1*i(oNYc$iXi1>hx{=llv_3Df z;s?X$QVCu50j^$128l(jgJ!7hR$W;AE^60>T?<2r|Pv;X>vrkjvemP_U$-}(9* z1a^26oRK9}v;ffC7cd0w8%cZNrGBE|$>c_!!^WwMepi7d7>b;mbu;&7JS;w}h zZTc?!HQC1$&fHAJ38D!VY z>94!n zv4+N@WG_7Irv8M@dBMuObI*2kImw120I9}r^BBbXfby3bzAX2MeKrAS^{s9<>o9>u z`_!cP^C0}L+j!$=P|3qBPXo_u_5q$v2>ZYp9PElv#5+YI{tUGN?k zp^5F_$9?0cOzQD##*7fMY(!~j!07PeAT*+2?*G=(b;g5DXb8#;psaQA@~2V;=|sR=!;WwsF$+tDXo!OCFL%tV8FK}*H0gP7?rLl z9D43s_l2M$So|>)OTOl&sqm&*PH&W|lC34}8!Q|#g_t*LtX(l1ouvIISLKv5X>Z`< z%W?X5bkF2k_+QlRl`xO=*W@8jPSYLX_2Y4{%2MnvUw1d!*eTp|w%h_&a=7Y<+r5t5 z5-BH?gh|tLBA}0Sud)ta z)T5dyPpYP^x#zg~UhUWoOivbZfGrmHK&Vecw!@@4^yjItOJ^MY{NfHwZ(_&fKzmB! zSpGo%dxdz(tE!EEgsAmi>beFN2<5GNX8bgWY;{x1nD`juQRofNu^ed~m#fgTHH1PN z_ap(=N%}+3PIUX1F`iMb^}&VE*zt!0KZRnJ6$mjZEdw99m+zdmH%&=mJMc#2*xh~H zfLLZZB(h{zp<;9&-FuOH9COD!9UD&7pk zJ>=wTqg+kE@wl%_ z$^i4;nF%!{hC#>-yb>Mz{yEaLJ))`k?W2kpAWSWSHVdN;<(39TxQ{QNWpQXW#N2tl zD0#g|#Ut$5MwKDEw^156?_O|GUmKaZ zU*?w#dK#%nJFW#uVN*j5BgN?caiBHk8kQb&&wW(v6}cWgy_~>Sr}l?_<)pkr@x|oO zkgJ8jcyI=yDNB3OR65d56^-HcTwp} zFcl`X(3pIEPishJq{BWT+!Vv}>RiY&HH8S;PW$2P>3jY6E+@2@y+ zlD>3@c^Lml0>_A$0e8|e{z5~ovCW={1*Is_K@Bb(P+=r({@-_JCYl@;5j-j=ks;A-z3fBvimQA0Z)SQwO`i3mQ z56O?)y>3_s;^hLqG7fR8pfl|uLk8ncLMU2vWgDfBo77OR%q~bPY66(;=s^7D13P@6 zt=i9ljO<*TN7=X;sdRyQ>mNOamyc@ahf4eS=Ib^D40WSq##UY>1)d=uttHIYc51f` zO-_Mj*+I;1_osiinszB_WK4NQccZwT6f=c??gJcE-J@Ca>f~2>3`BojReeduYdcGs z7y4>fNbvD>+mKf}gm3E{-V@ZfryU@m!MXt=snSpHOKP?lyzPpp?BbaC7jAI`WH1Tq+ z;G>_I+fgF?Hj;5gRxAAL0ij~;h^a1(>29swpH+kzL)k5DpS+~Bo;2-+;yuf+pd(zS-ca`YF zkn=oRQ|rkbTkLYN_t!RtZ{U`h#iZ77x@fRZUHX^e$hbvjdmwmCOIU~DJI0f|)o4PR zQyyS7L&;u~Qr^`(Ty`Uo(b&nx;_;wb2pDm)DTWtNgiIoaTWNR@r9rw$=>Jp zeS@Eie-7yW!qA=z6yn(Rc-HTjFpMfV@&G(^*uf3xx+M&|=y@u84R~1$7 z6#8wQPVDDRnan`?M37YPgdQ-5r4TjZH)%MpamF{e!}p-rdN8NNtZn|Uhn}!=AoU_; zFReJiUYgt!b@mn5t20oqk2n6PjlbgOB}|GVG9pUl?SU%aN91gKcbp?vo{*u^eu~yU zWL*sUz_JzXv7CFH;&lh1CKMu_RH@bzE{k&R(>lcedV+{Bv9|vqE!;0o2y=Nv%QbDL zlJ@(tKiR&7j0;A>g>o4`*QtHDxxDx0-i#XdZ+Qg(AD1+B33_Gs21Bz>fF4#^0-Nf1If+jW@Q7 z!m>4M9n+@sHlOts6lcM8OSSuj2p8aJj(mwjIACG@pINH|^+1;KZ^vB@OmQ$j7uX>H zKLo_Rd`ml1I#y8exT2kFj9(J^#B8ke>MD5uSdi$|_4j`R2dMbpT&c~l?TW^z zd7dM*o}$-r$r2)S#$#Ow>_l~QRrO){H(QjDi**x4ZF!`!0_V=e^j2cn*DX!-oC-W^ zWpVD%<3hgxH{&_i@h*v&-a24o+=N}iU|CBl#&c&A)7WEoGE;3k|8?DT!*IDyt-b)d zk!U(wZ8g4GUiw~WMLV&~@J?puc=JTY52}f*f9&2#`P`G&lkr-$O3Y1lKVJ@h^x8Cb z+)VP49j_37d$-?#LQvNi;z|a?Om-g{O*;4p5Mx~yMxWYLRTaLV+|e#a0{ZYvTJ`Cc zpNZo=k(nVj;Ik)^B|YXaBaPS7K_i;?m{Yn8@qqSK2>MFaXbAP5;OH?G(b>hfiGS-h z*eRzRl;aBZtOtCu+ZX$} zQ|RRDyxY3S2r7_Mk3UWn?_kxs)~7eg4Mxa7go`dZzEsfR;KR;dlis(d6Ojwc+}Nvl z={kvwV5#Zr&R3U@hZO40V7!z^TT8jj6Q^%?2U>vvv-uakt6uE`Dl^^zj~g3<+$JEm zOK%Kk(+{Gt2U<-(SgH!2g^rYJ#8%Zf`Tk*>Q#z87m#9Qj{KXnymd>zV>}p&cpS$!^ zx;_`iIGHb05XW6B_b60n-AyyIgDj)fnAeYzBiyWBwuJNzi6tUvf|%#aL8~meHujC5 z^PDtuwd^Jy!f@3q(mN6USbR??GDvWkAx)NkIiGqnBV{<#BUr!cW}V2^NN7Tpe24ZH z;-PHOM0TS1WffsWv3eh5?42bdvse$o+YDp5eaWglB$u8s*XUvE6?RUknRxSI88Q|>&xYOLmS zd0CF!>A0rOtSFHTfn~!~62Tcc;BOnT)){zg<(jW>y7~B_bh(b#eb&&%^-*dOBK|C) zMK4>iPdDL(L6ZR>*5=r$nYv68jj!hgN+Up#T2~4L35^v z_dp&Wh_*<*GbLq_wc%%0#V8W4R~eTwODvehB5&p6!9W?8=*>L@G$tgq@lLYVoJjT( zR@Tl8WYa1^7LH@UXTXlU{c<{T=s=Vl;4^U=c$@T4Y}SRvo6G}PUJMmtkV-1G&z)&| z)3u@MB<^&d8~=7U3(;p>n&_kp`cHbjKOQSq0$ zoKw-_Lvkb0(aazkUh|Y!W~HlJhisgZvWDzrwvFVwo*Lv|og$MC!AfCu7_udT{2#pK&y|kPyg#&0Y&1* z&-ayb^-JeLL^~EKPAr%k6K}IC#BKJg|IJqW8Fc?k`}CgJxOKs>0;qm60BFbE@Au^^ zrt%q}#Y+m1&s>2w<8GUlMqFYErx|NyByn#xWvt)U9#pVVAw&K@lLL@BC9O~BqMwm= z{qE1=Qgv}*KfzSJ;rh5C_oR5bn|6+Ymt5hcJ(Y!Ya-A^ZILi{&uisR`khim_?uk$iAqPNf~fC)9g z8qpkYM-3f8aVyv%?Rpd01FV2kh1rOBMURphf`VNWlETd^uXo=u{FH#<)o7)aBDrC2(tNm`5!WHSLF_@#VEX4v(Kl(6c*QVtBqmqc&B zH5dbI=PaPbi(Z_&El7DdQ`_0TsrpCey1X6HSBiS0WPLCuvv3n^4=a;+VY_m986taK zZ}i-)R$Uk!CgBdH4!8DS3Sd@;ImI-AzpU%f2sx(UteWq!iOCJ-URnP`=Z0duO1Tl^ z;e2~y8*-j&Aq$^ZUwRj_p~TQuOoEw$7<^>+;(^~Pd}?)8@DwZBI*buH4=)ac;OKb* z3ov;Efp*TGuz2F+@^di6OONlm_Z^hV^LC5#RMmy2?en{Vmn3d%)hdbbi(@8Nxxrfr8;k!Yen=1*960WE@XAK(s}d%n+43#(9VjN&?I4q#V9FXPi|i&A9^d6>6S!ZWvo zg&X7dUdunpmO^OG`W|iLgrw^}U|;$6Cfd|$&93Dtom@Z{Sbg<7yDSk@T&$p@GbH85 z-g=WL9Gd~u&;(Y0OUkOh|0#+#OiBZre0={T@atpe&46mS@5F-Q{m zLBgHfo>Nig{wAU->4eBX3PI^%Nc`qzgDGp9xCe%qvy|loI%@(sSmVW-clH_=eqz>( zuv9woBs2bA`KU85eBGFk8MZLE{y*8pwRGU0xwzS@gZX_gz<0vpLu0+7sZZ_;8%}D` z*OzUWhRG@szMKU`2@|UPHQz@OVi=VsBw0-inWE8PoLDxXTYdJ`q+5zhh)!^kn4@bu z|6)yXC7ovQaNr)w+k=Vq6MvUI9)6~oPpob)wkSBVDJaJ#mRz4>B<x79y6<9O7O?8RZ`Ch(H7+$@PhO# zwOFIa`2KNOG^RyPh2!<1F0Wj}*r@4M{u)!Zw)BTFWzT1Yp~~vHRpP2KekDV`XW6(3 z&LO+xglexX?9(dP1A@Hr*yg0PQ?!~)QpprJ9Es6K+x_|ULC?%=fjSMj7#79^Y+kc! zhtb)KILTJjz1q6?%WayW3yct_8Lf$%>*g$Va^=0n;m?!a>(;f>E_YhVO6d_4LmB;% zkMLURlem;WBOq=t7}47+^O@@BO*c^4$jjw(6!0{Rrbc?lxuDj9sYAKUcA|t!`L%zg z3|;Ke4_70+dStW{tLeLN%kBI=)~6Y|vuOl1%D-u*|M3_*b6;;|V(R8_{|V;ivmMv! zOjl)1eJx0DYokegSSX^(ij&*k>5vyKHG99Kbv`WIHOvF&zf}||&#NSdk^>EFnH%eD zg$<-^_?A+Is~0m-q(k34io@15|^ zB3DxOKa@i+Bnf6%_4H-XuJh3RM=D;UzMo^s#ziKl2aoP$Hul-I2Qdo*_XJRwMr!JJ z-AJv*^ZbE>iG@;y6lYtUTM5$}j94VqYBq5XlEix)OE(4^`_c&y-FWq+{W+?*d#qj@ z#=o)C)fBJQ4C~cYyS7&Hw9qJ#f!OO_Bru<^4c{F`ict{KZvdMXxG1+kes$zs>O2{F~ak^}8}ePHl{rX}2HDUL|Z(Fn9SFVw&(Cu(U=X>g`gxrbM`NQ-}|= zv*?0TtJ%1WWIgfqYvabmwliP6OdU;IFWuPd+Rl-Alm83MgShdVD-x+Ex;#{zupDFf zts#A~;PLWaiy1$%O2FX>k>t-)7}`F19Bt;=pgAP4vQbJGMdEX~~^RE^wQ-!CC)+=e6P#iMeUN?#1 zNQU%@@Sjp%|D}AKy7_6|(?5cg5}Kp01^ShV7`H&~tD)!X?#d0DbI70W?R!aeStAov zhkTv8way`pbCc+k85JOt$VLI?LV-g1<;=I8p1&LgTx5;a6`0H2hh&VW3}nRkdGPj; zXp{`ty*v!Jv#EcMl)n@QqUocg&x}ca*vAnWE>oJaHunr zKn9{|jkramiS{#)lwl-ku%p~(O@mGd(`{8QuRwlewP5HTy97XKYj0zXh%s+>d$$wjlTKMK9f?pyDI9pz>j zpYM8KI>#WdEx-bFREk6DQ{t%a!xAs&6j} z&|Uj}p0)9#ikb1S%@@9OqV$_9sKDdo_L@=2eFwrj?`Rs1RvSdLP^x+>E-mw$OH4N{ z1@=pIXIAJiF9Z^P!FZxeB~|>R#(6nIx^HnKk&c`tq*YyA3^kl-5`2selh4{`{zL+4 zlLJL_b0SM#U(|TItu>IlH^EE6W+&+SN&h>{er_X%?{(RtNzDoBZHco;@An*}X0VeLK22_ddX z{@M(Q<8HqJ`~HK!o%?yudw(ZAlZXO95^F$6i#Q-#TL>7q#v`*7EoOcqXJ^760H+dZ zeh;vL4ju?;t?y+V_B&qb`AfWdQ;zIeH?f7>nD`a?D5f9=#VwdMnCtlpj@2_1$T0Zi zB@d&3J_s4ufXasbBbsFGyOrMj3cs-7)xj6)Kh*~G0&FU{@74>g0Cw&88(-+KPJ>8I zw4%q6TM3#|Z}EYuy#9hN=3Ko0sV74PuGYGf>mxEbAB$unUBY z>xF5}mbETiRaidOvx1pVaphSl-ujw;^T^joMg6FD1IxM*zm7Gm&t>3JhRZ;Srsx_hPU7<#b^!n(eqT=WHJ!PirK?x{E| z(N6De1M^!-phev~JsX-`G(eF{J;2f73#ti%D=9si8HwJCW{Ez~^&UB~94U61mfR!@s3Ui)SRD@p`|n$6+$n0i6oUViJ0vNk zkg3D5e_VH@5E_C`F;xH71(LR^ja)Vt)LsoJX(fIsG<*O3TSS=<6wDKtGS%xCzt